SlideShare a Scribd company logo
‘-
1
Khulna University of Engineering &
Technology
Presented by
Dr. Md Nur Kutubul Alam
VLSI DESIGN AND TECHNOLOGY
EE4121
‘-
2
DEPOSITION
‘-
3
Thin film deposition
A large variety of films must be deposited
during the CMOS process fabrication.
This can be done by physical or chemical
deposition methods. In some cases
these deposition methods are assisted
by a plasma.
Four types of deposition technique
‘-
4
Physical vapor deposition
Physical vapor deposition (PVD) methods rely on the physical transfer of metal
atoms from a metal source to the wafer substrate, unlike chemical methods,
which employ a chemical reaction.
Typical PVD conditions
Low pressure
• Base pressure: 10-8 Torr
• Deposition pressure: mTorr
• Target diameter: >40cm
• Water cooled target
• Target-wafer distance: 5-30cm
• Gas: Argon, nitrogen
• Typical materials:Al, Cu, Ta, Ti, Co
• Substrate: cooling, heating
• RF-bias possible
PVD: Deposition based on vaporizing solid materials by heating or sputtering,
followed by condensing the vapor on the substrate surface.
‘-
5
A typical system comprises a process chamber, a vacuum system, and
a metal heating system. Wafers are usually mounted upside down on a
hemispherical chamber ceiling, which may include a planetary system to
rotate the wafers for improved uniformity. The metal to be deposited is
placed in metal “boat” or ceramic crucible. The metal is heated usually
to 500–2500ºC (depending on the metal) to increase the vapor
pressure. After a warm-up period, a physical shutter is used to precisely
start and end the deposition onto the wafers. A quartz crystal
microbalance (QCM) mounted inside the chamber monitors the
deposition, and can provide feedback signals for automated control.
Simplest possible technique you can do. Here Substrate remains at room temperature therefore it’s a low temperature technique.
PVD: evaporation
Evaporative deposition, or more commonly just “evaporation”, is a fairly
straightforward method for metal deposition. The basic concept is to heat a metal
sufficiently to create a vapor, which diffuses and re-condenses in solid form on
other surfaces. This process is usually performed in high-vacuum conditions
(below 10−5torr) so as to limit gaseous molecular scattering and to create a high-
purity process environment. Note that, although the metal to be evaporated is
obviously very hot, the wafer substrate usually remains at room temperature,
unless intentionally heated or cooled. Also, because of the very low chamber
pressures, the metal vapor tends to follow a straight path, leading to very
directional deposition and poor sidewall coverage.
‘-
6
Mechanical shutter:
– Evaporation rate is set by temperature of
source, but this cannot be turned on and off
rapidly. A mechanical shutter allows
evaporant flux to be rapidly modulated.
PVD: evaporation
Material is heated to attain gaseous state
– Resistive heating
– Electron beam evaporation
– Ion beam evaporation
– Inductive heating evaporation
Carried out under high-vacuum conditions
(~5x10-7 torr)
Advantages
– Films can be deposited at high rates
– Low energy atoms (~0.1 eV) leave little
surface damage
– Little residual gas and impurity incorporation
due to high vacuum conditions
– No substrate heating
‘-
7
PVD: evaporation
Thermal Evaporation
The simplest evaporation systems use joule heating to heat the metal charge.
The dissipative heat can be created by direct conduction currents or magnetic-
field-induced eddy currents. In the simpler conductively heated systems, high
currents are passed through wound coils or a small metal boat (usually
tungsten), inside of which sits the charge. The resistive heating of the boat
facilitates deposition of relatively low-melting-point metals such as Ag, Al, and Au.
Evaporation of higher-melting-point refractory metals such as Ta, W, Mo, and Ti
is challenging because these require very high temperatures to achieve
reasonable vapor pressures and deposition rates.
Because of this, the use of metal boats and direct conductive heating may not be
permissible. Instead inductive heating can be used where the metal sits in a
ceramic crucible that is surrounded by a coil. RF excitation of the coil is used to
induce eddy currents in the metal. This approach permits a wider range of
metals, but the crucible itself may become very hot, which can result in
contamination.
‘-
8
PVD: evaporation
E-Beam Evaporation
Another configuration for evaporation uses a directed electron beam to bombard
the metal charge. The electron beam source is usually underneath the metal
charge. Strong magnetic fields are used to steer the electron beam in a 270◦
circular arc to impinge on the charge. Although more complicated, the advantage
of this approach is that the electron beam heats a central portion of the charge:
the outer area of the charge and crucible remain at lower temperatures, so as to
minimize contamination.
Typical emission voltage: 8-10 kV.
Exposes substrates to secondary
electron radiation.
– X-rays can also be generated by high
voltage electron beam.
270° bent beam electron gun is most
preferred:
– Filament is out of direct exposure from
evaporant flux.
– Magnetic field can be used for beam
focusing and beam positioning.
– Additional lateral magnetic field can be
used produce X-Y sweep
Here we apply
magnetic field to
bend e-. So if
we have any
contaminating
ion, that bends
differently.
Thereby it
doesn't reach
the crucible.
Therefore it is
much more pure
‘-
9
Condensation control of the evaporant is achieved through control of the
substrate temperature Ts.
• Higher substrate temperatures:
– Increase thermal energy of adsorbed molecules.
– Increase surface diffusivity of adsorbed molecules.
– Performs annealing of deposited film.
End point control:
• Quartz crystal thickness monitors (QCMs)
– Based on a quartz crystal oscillator where the quartz crystal is exposed to
the evaporant flux.
– An increase in mass on the surface of the crystal will shift the oscillation
frequency in a predictable manner, from which the deposited thickness
can be determined from the change in the oscillation frequency.
• Optical interference, absorption, or reflectivity
– A more sensitive technique better suited for optical coatings.
Deposition control
Quartz
crystal
Epi-layer
‘-
10
Like evaporation, sputtering is a physical process, but the transport of the
source material to the substrate is produced by an energized plasma.
A plasma is used to create a source of impinging ions which are accelerated
into a target which is the source material.
– Argon is the most common gas used for sputtering.
The impinging ions knock loose atoms of the target by physical sputtering.
The sputtered atoms travel back through the plasma and are deposited on the
substrate.
Advantages
• Sputtering does not require the source or target material to be heated to its
evaporation temperature.
• Sputtering provides better utilization of the source or target material.
• Sputtering does not cause much compositional change in the source material.
– Alloys and mixed composition targets can be directly deposited.
Disadvantages
• An entire target must be obtained before any sputtering can be done.
• Uniformity requires effort.
Sputtering
‘-
11
PVD: sputtering
Accelerated ions, usually Ar+, knock out atoms in a solid target by bombardment
in a potential gradient environment.
During the bombardment, momentum exchange occurs between the ions and
the atoms of the surface of the target. The energized atoms are volatile and
spread out as a vapor to land on the vicinity surface and the sample substrate.
The sputtering process requires a vacuum environment, which is prepared by
pumping out a stainless steel chamber enclosing the anode, the cathode, the
target, the substrate, and so on. The chamber is evacuated to a base pressure
of 10−6torr or lower. Then a bombardment gas, usually Ar, is introduced to the
chamber and maintained around 1–10 mtorr level. The Ar gas is ionized into Ar+
by applying bias voltage between the anode and the cathode.
To obtain uniform thickness of a thin-film metal layer, mechanical movement
such as rotation of the substrate holder can be used during the sputtering
process. The rotational speed of the stage ranges from 10 to 30 rpm. The
deposition rate is a function of many parameters including target-to-substrate
distance, ion energy, the mass of the ion species, the mass of the target
material, and the like.
‘-
12
PVD: sputtering
Depending on the voltage waveforms used to generate the plasma, the
sputtering process is categorized as either direct current (DC) sputtering or
radio frequency (RF) sputtering.
Schematics of (a) DC and (b) RF sputtering systems
Most often DC
plasma is used. But if
you need to deposit a
non-conductive
material you have to
use RF sputtering.
Otherwise your film
will charge up that will
change the local
electric field. That
would spoil or even
stop your deposition.
‘-
13
Step coverage
Sputtered metal deposition in a densely
placed high-aspect-ratio structure.
Sputtering can provide reasonably conformal coatings on uneven surfaces, but
conformal deposition on high aspect ratio structures remains an issue.
The step-coverage of a sputtered thin film in a via hole has been calculated,
where the profile shows a high deposition rate on the top surface and a low
deposition rate on the sidewall.
As a result, the sidewall thickness
tapers down toward the bottom.
For a very high aspect ratio, the
bottom portion may not have
sufficient metal coverage due to
limited mass transfer into the
narrow entrance of the via hole and
the higher pressure environment in
the chamber. This kind of poor
coverage is more significant in
high-aspect-ratio vias or trenches
as compared to high-aspect-ratio
pillars or walls.
‘-
14
Pulsed laser deposition (PLD)
Pulsed laser deposition (PLD) is another method for depositing materials, especially
metals. The system uses a high-energy laser beam (typically 108 W/cm2) to strike a
metal target within a vacuum chamber. The laser beam melts, evaporates, and
ionizes a region of the target. This ablation process creates a vapor plume that
transfers material to the sample wafer.
One major advantage of PLD is the
precise stoichiometry/composition
control and relatively fast deposition
rates. Ideally the deposited material
possesses the same chemical
composition as the metal target. High
quality crystalline deposits are also
possible with substrate heating. The
biggest drawback is that most PLD
systems can only provide uniform
deposition over a small surface area,
sometimes only about one square
centimeter. This decreases the utility
of PLD for volume manufacturing.
Schematic of a pulsed laser deposition tool.
‘-
15
Electrochemical deposition
Electrochemical deposition involves the reduction of metal ions from aqueous,
organic, or fused-salt electrolytes. The reduction of metal ions Mz+ in aqueous
solution is represented by:
Mz+ (metal ion in solution) + z e− (electrons) → M (metal deposit)
Two processes can be used to provide the electrons for the reduction reaction:
(1) electroplating (or electrodeposition), where an external power supply provides
the electrons,
(2) electroless deposition, where a reducing agent provides the electrons.
Schematic of a general electrochemical deposition cell (using soluble anode).
‘-
16
Chemical vapor deposition
Chemical vapor deposition or CVD is a generic name for a group of processes
that involve depositing a solid material from a gaseous phase.
Continuous film
Substrate
2) Dissociation
of reactants by
electric fields
3) Film precursors
are formed
4) Adsorption of
precursors
5) Precursor diffusion
into substrate
6) Surface
reactions
by-products 8) By-product
removal
Exhaust
1) Reactants enter
chamber
Gas delivery
By-products
Electrode
Electrode
RF field
Parameters:
• Gas composition
• Gas flow
• Temperature
• Pressure
• Frequency
• RF power
This is a plasma enhanced CVD. Using plasma
allows to reduce the substrate
temperature. Because you can supply
energy into the reactants from your
plasma. But there is a risk, the plasma
can damage the film you deposited. So
you have to balance properly
Plasma energy can be used to accelerate the deposition process by activating the
precursors. This results in higher deposition rates at lower temperatures and better
film quality. The charged byproducts deposit anisotropically.
7) Desorption of
RF generator
‘-
17
Fundamental processes during CVD
Fundamental processes during CVD
• Reactant gases (precursors) are pumped in to a reaction chamber (reactor).
• Under the right conditions (T, P), they undergo a reaction at the substrate.
• One of the products of the reaction gets deposited on the substrate.
• The by-products are pumped out.
• The key parameters are chemical (reaction rates, gas transport, diffusion).
Deposition takes place due to a chemical reaction between some reactants on
the substrate.
‘-
18
Mean free path of gas molecules
CVD processes can be performed at a range of pressures.
In low pressure CVD the mean free path of the active species is longer than the
typical topography dimensions, resulting in a more uniform coverage.
Kamins 2000
Typical pressure of CVD processes :
] Mean Free Path is larger
] than typical topography dimension
– 0.01 Torr (HDP)
– 0.1-0.5 Torr (LPCVD)
– 760 torr (APCVD)
Most important
thing for CVD is
pressure.
Cheapest way is
to deposit at
room
temperature. But
at low pressure
you get larger
mean free path.
If you make the
mean free path
of the molecule
larger than your
structure, you
can deposit very
high quality,
uniform film.
‘-
19
Chemical vapor deposition
The choice of chemical reactions is determined by various parameters:
• The precursors have to be volatile (gaseous).
• The chemical reactions need to be thermodynamically predicted to result in
a solid film. This means that there should be an energy advantage for the
desired reaction to occur, meaning the Gibbs Free Energy (GFE) has to
decrease. Temperature and pressure can be adjusted forΔG< 0.
• The by-products need to be volatile (gaseous).
Importance of the Gibbs Free Energy (GFE) in CVD processes:
• GFE is a measure of the total available energy in a system.
• If the overall GFE of the reactants is greater than the overall GFE of the
products, that reaction is thermodynamically favorable.
• The equations relating the GFEs also determine the reaction rates.
• All of these quantities are affected by temperature and pressure.
‘-
20
CVD reactions types - I
‘-
21
CVD reactions types - II
‘-
22
CVD reactions types - III
‘-
23
Deposition process
Precursor arrives
surface Migrate on the surface React on the surface
Nucleation:
Island formation
Islands grow
Islands grow,
cross-section
Islands merge Continuous thin film
Deposition occurs initially through nucleation on the surface, followed by island
growth. These islands merge into continuous films.
The mobility of the atoms on the surface is an important parameter in the CVD
process and will have a large effect on the final film properties.
‘-
24
CVD nucleation
First the barrier to nucleation (creating a nucleus increases surface energy) has
to be overcome.
Two types of nucleation exist:
- Homogenous: Nuclei are formed in vapor form before being deposited and
do not incorporate into the crystal structure of the film.
- Heterogeneous: Nuclei are formed on the substrate and incorporate into the
film structure more easily.
‘-
25
Surface interactions
Distance
from surface
Bonding energy
Surface adsorption
Interaction between an adsorbing molecule
(precursor) and a solid surface
Determines precursor surface mobility
▪ Physical adsorption (physisorption)
– Weak bond between surface and precursor
– Bonding energy usually less than 0.5 eV
• Hydrogen bonding, Van der Waals forces
– Ion bombardment and thermal energy at 400C
can cause migration of physisorbed precursors
– High surface mobility
▪ Chemical adsorption (chemisorption)
– Actual chemical bonds between surface atom and the adsorbed precursor molecule
– Bonding energy usually exceeding 2 eV
– Low surface mobility
– Ion bombardment with 10 to 20 eV energy in PECVD processes can cause some surface
migration of chemisorbed precursors
▪ Self-limiting chemisorption
– Precursor does not deposit on other, already adsorbed, precursor molecules, only on the
free surface
Sticking coefficient: The probability that precursor atom forms chemical bond with
surface atom in one collision
Van der wall or
H bonds. They
are relatively
mobile
Physisorbed
precursor
Chemisorbed
precursor
Substrate surface
Chemisorbed.
Very sticky with
the surface
‘-
26
Modes of thin film growth: 3 growth mechanisms
Substrate with very low surface energy:
Energetically it is more favorable for the
reactants to bond with each other than to
bond with the substrate. Then you get
island growth.
‘-
27
Volmer - Weber (island growth)
• Smallest stable clusters nucleate and grow in three dimensions
• Atoms in the deposit are more strongly bound to each other
than to the substrate
• Low surface mobility
• Typical for many metals on insulators
Island growth
Frank - van der Merwe (layer-by-layer growth)
• Smallest stable clusters nucleate and grow in
two dimensions.
• Atoms of the deposit are more strongly bound
to (steps of) the substrate than to each other.
• Monotonic decrease of bonding energy down
to the bulk crystal value
• High surface mobility
• Single-crystal epitaxial growth
Layer growth
Stranski - Krastanov
• Layer growth merges into island growth after
deposition of a few monolayers.
• Transition caused by disturbances of the
monotonic decrease of bonding energy
(lattice misfit, relaxation of internal stress)
• Metal - metal and metal - semiconductor systems
Island growth
Layer growth
Basic modes of thin film growth
‘-
28
Film deposition
In a simplified model, as gas flows over the substrate film growth is determined
by adsorption and reaction rates.
The deposition rate depends on the process conditions.
‘-
29
CVD deposition regimes
Two limiting cases can be distinguished:
• hG >> kS : reaction limited growth
• Chemical reaction rate can’t match precursor diffusion and adsorption rates. The
precursors pile up on the substrate surface and wait their turn to react.
• Growth controlled by processes on surface: adsorption, decomposition, surface
migration, chemical reaction, desorption of products
• kS is highly temperature dependent (increases with T )
• This is the common limit at lower temperatures
• Temperature and reactant choices are important
•kS >> hG : transport limited growth
• When surface chemical reaction rate is high enough, the chemical precursors
react immediately when the adsorb on the substrate surface.
• Growth controlled by transfer to substrate
• hG is not very temperature dependent
• This is common limit at higher temperatures
• Gas dynamics and reactor design are important to obtain uniform film growth
‘-
30
CVD deposition regimes
Single wafer RTCVD
Batch
LPCVD
Most batch LPCVD systems operate in the surface reaction rate limited regime as
temperature can be precisely controlled and stabilized in these systems.
Single wafer RTCVD systems usually operate in mass transport limited regime as
precise temperature control is more difficult to achieve in rapid thermal processing
tools but, on the other hand, gas flows can be controlled very well.
‘-
31
Typical single wafer CVD module used in a cluster tool.
Single wafer CVD in a cluster tool
Single wafer PECVD
‘-
32
CVD deposition reactants
‘-
33
Silane Based
LPCVD or APCVD (~ 400°C) or HDP
SiH4 + 2 O2 → SiO2 + 2 H2O
PECVD (~ 400°C)
SiH4 + N2O
DCS based
→ SiO2 + Products
HTO (~ 800°C)
SiH2Cl2 + N2O → SiO2 + Products
TEOS based
LPCVD TEOS (~ 650°C)
TEOS (+ O2) → SiO2 + Products
TEOS/Ozone (400-600°C)
TEOS + O3 → SiO2 + Products
PECVD (~ 400°C)
TEOS + O2 → SiO2 + Products
C2H5
O
C2H5 O Si O C2H5
O
C2H5
For safety issue, people changed into this one. Just
oxidize this structure, you oxidize away your
hydrocarbon, and you expose the SiO2
Tetra Ethyl Ortho Silicate
Oxide deposition processes
Silane is dangerous. It spontenously catches
fire if it comes in contact with the O2 and
ambient. So from gas leak or whatever, you
can have fire in your clean room.
DCS has Cl2 in it, which is very corrosive.
Dangerous for health.
‘-
34
The step coverage is a measure of the deposited film reproducing the slope of a
step on the substrate surface. It is one of the most important specifications in
CVD processes has a very good step coverage:
– Sidewall step coverage
– Bottom step coverage
– Conformality
– Overhang
Step coverage depends on
surface mobility. Larger
surface mobility gives better
step coverage.
Step coverage of CVD
‘-
35
Polycrystaline silicon
Poly- Si
▪ Applications
• Gate electrodes, local interconnect, capacitor plate, TFT, Microsystems, …
▪ Physical Structure:
• Crystalline grains, separated by grain boundaries
• Under certain conditions, Si is deposited in amorphous state (not crystallized)
Deposition
▪ High temperature (~ 600-700˚C) furnace LPCVD processes.
▪ Silane (SiH4) or DCS (SiH2Cl2) as silicon source gases.
• Nitrogen as purge gas
• Arsine (AsH3), Phosphine (PH3), and Diborane (B2H6) used as dopant gases.
➔ Silane process
SiH4
Silane
Heat
→ Si +
Poly-Si
H2
Hydrogen
➔ DCS process
Heat
SiH2Cl2 → Si
Dichlorosilane Poly-Si
+ 2HCl
Hydrochloride
This is the same chemistry. Just you dont start from a epitaxial
surface, you get a poly Si.
Also depending on temperature, you can get polycrystalline Si
‘-
36
T<550˚C
Amorphous Si
T > 900˚C
Single Crystal Si
550˚C <T< 900˚C
Polysilicon
Grain
Boundary
Grain
Crystal structure of Silicon deposited with silane as source gas on single crystal
silicon substrate depends on temperature:
• T > 900 ˚C deposit single crystal silicon
• 900 ˚C > T > 550 ˚C deposit polysilicon
• T < 550 ˚C deposit amorphous silicon
Microstructure of Si CVD films
‘-
37
In MBE, the constituent elements of a semiconductor in the form of ‘molecular
beams’ are deposited onto a heated crystalline substrate to form thin epitaxial
layers.
An important feature is that growth rates are typically on the order of a few Å/s
and the beams can be shuttered in a fraction of a second, allowing for nearly
atomically abrupt transitions from one material to another.
The ‘molecular beams’ are typically
from thermally evaporated elemental
sources, but other sources include
metal-organic group III precursors
(MOMBE), gaseous group V hydride or
organic precursors (gas-source MBE),
or some combination (chemical beam
epitaxy or CBE).
T
o obtain high-purity layers, it is critical
that the material sources be extremely
pure and that the entire process be
done in an ultra-high vacuum
environment.
Molecular Beam Epitaxy (MBE)
‘-
38
Effusion: the process where individual molecules
flow through a hole without collisions.
- The source material is heated to vapor phase.
- Ultra-low pressure in UHV leads to molecules with
mean free paths of hundreds of meters.
- Opening in effusion cell is small – molecules travel
straight out of it with no collisions, forming a beam.
A typical MBE system may feature 8 effusion cells.
The Crucible is constructed of pyrolytic boron nitride
(PBN) to withstand temperatures up to 1400°C
Effusion sources
‘-
39
MBE characteristics
• “Low” growth rates: VG can vary from 0.01ML/s to 1.5 ML/s (max)
• Smooth growth surface with steps of atomic height and large flat terraces
• Precise control of surface composition and morphology
• Abrupt variation of chemical composition at interfaces
• in-situ control of crystal growth at the atomic level
• Wide range of materials can be deposited:
Semiconductors
IV-IV: microelectronic (MOSFET, HBT, …): Si, Ge, & C
III-V: optoelectronic (lasers, LED, HEMT, HBT, …) : Arsenides (GaAs, AlAs, InAs),
Antimonides (GaSb, InSb), Phosphides (InP, GaP), Nitrides (GaN, InN, …)
II-VI: spintronic, optoelectronic (lasers, …), ZnO, ZnSe, CdS, HgTe
Functional oxides
SrTiO3, BaTiO3, …
Al2O3, LaAlO3, Gd2O3, …
‘-
40
III-V growth techniques: MBE
The main benefit of using the MBE growth technique resides in the possibility to
use a wide range of in-situ diagnostic tools such as ion gauge, quartz crystal
microbalance, mass spectrometer and characterization techniques like pyrometry,
ellipsometry spectroscopy or normal incidence reflectometry (thickness
measurement) and Reflection High Energy Electrons Diffraction (RHEED).
Electrons gun
30kV incident beam
Sample
CCD camera
Phosphorescent screen
Incidence angle
θ
Diffracted beams
a
1/a
Specular spot
Volumes' diffraction lines
RHEED setup
The possibility to use RHEED is
by far the key advantage of the
MBE growth approach as we can
study in real time the crystalline
properties of the layer
(amorphous, polycrystalline,
monocrystalline, textured), the
surface morphology and
roughness (2D, 3D) the growth
kinetics (growth rate), the native
oxide removal from substrate
surface (surface deoxidation) and
investigate the atomic
arrangement of the surface
(surface reconstruction).
After C.Merckling, imec
(0,0) (0,1)
Si(100) - 1x1
[110]
[110]
[110]
[001]
Si(100) - 2x1
2x1 surface periodicity : Si-Si dimer rows
(0,-1) (0,0) (0,1)
(0,-½) (0,½)
1x1 unreconstructed Si surface
(0,-1)
RHEED: surface reconstructions
‘-
42
III-V growth techniques: MBE and MOVPE
MBE crystal growth technique is particularly appreciated by scientists in the area
of surface, interface and growth science. However, for production purposes, due to
the need for ultra- high vacuum, the complex maintenance of the phosphide
reactors and the low growth rates, MBE technology is only preferred for low
volume production of very specific heterostructures where a perfect control of the
interfaces is necessary for the final devices like for example Heterojunction Bipolar
Transistors (HBT), High Electron Mobility Transistors (HEMT) or Quantum
Cascade Lasers (QCL).
Metal Organic Vapor Phase Epitaxy (MOVPE) is far more suited for large volume
production. MOVPE is a chemical vapor phase deposition technique based on
chemical reactions of mixed gases onto a heated substrate. For MOVPE, the
element sources are not metals but precursors with high vapor pressure around
room temperature. These precursors can be either hydrides or metal organics in
which the metal is bonded to organic radicals.
After C.Merckling, imec
‘-
43
III-V growth techniques: MOVPE
An overview of the most commonly used MOVPE precursors for group-III, group-V
and dopant elements for III-V epitaxy is given in the table below.
After C.Merckling, imec
List of the different hydrides and metal organic precursors used for III-V epitaxy by MOVPE.
If the hydride sources are packaged in pressurized bottles, the metal organic
sources, that can be either liquid or solid, are contained in a bubbler in which the
ultra-pure carrier gases, N2 or H2, can be introduced to carry the precursor
molecules to the reactor.
‘-
44
III-V growth techniques: MOVPE
The bubbler is put in a thermal bath to accurately control the precursor temperature
and together with a set of mass flow controllers at the inlet of the bubbler and a
pressure controller at the outlet, an excellent control of the precursor concentration
held in the carrier gas is obtained.
Schematic diagram of a
MOVPE system including
the gas mixing system of
the different elements, the
reactor area and the
exhaust region
The carrier gas containing the different precursors is injected into the reactor at
reduced pressure, between 50 mbar and 500 mbar, on a rotating and heated
substrate where the epitaxy process will take place. Due to the high pressure during
the growth process, much higher growth rates can be achieved while maintaining a
high epitaxial quality. However, for more complex heterostructures, lower growth
rates, comparable to MBE technology, need to be used to obtain abrupt interfaces
leading to an equivalent layer quality for both growth techniques.
‘-
45
Atomic Layer Deposition (ALD) is a deposition method that uses a cyclic process
of self-limiting chemisorption reactions of gas phase precursors to deposit a thin
film on a substrate. It is ideally suited to deposit thin films on structured surfaces
and has become the major method to deposit high- dielectrics.
Atomic Layer Deposition (ALD)
Phase 1 :
Precursor pulse
Phase 2 :
Precursor purge
Phase 3 :
Water pulse
Phase 4 :
Water purge
(…)
Major principles of ALD:
• Precursor gases or vapors are alternately pulsed on to the substrate surface.
• Precursor gases introduced on to the substrate surface will chemisorb or surface
reaction takes place at the surface.
Phase 2:
Precursor Purge
Phase 3:
Water pulse
Phase 4:
Water pulse
In MOCVD two precursors are always present in the
reaction chamber. But in ALD only one is present at a
time. ALD is a surface driven technique.
‘-
46
Self-limiting chemisorption
Association Dissociation
Chemisorption mechanisms
Surface reactions in ALD are all self-limiting. This self-limiting characteristics of
the process steps is the foundation of ALD. Most ALD processes are based on
a chemisorption saturation process followed by an exchange reaction.
→ → →
Ligand exchange
• limited number of reactive surface sites
You start with an -OH group. Then supply HfCl4 or ZrCl4. The Chlorides are
relatively big atoms. Once one of the Chlorine is replaced and Hf/Zr bonds with
the -OH group, the layer of -OH is completely covered. Therefore no further
reaction is possible.
‘-
47
ALD of HfO2 using HfCl4 and H2O
HfCl4(g) + 2 H2O(g) → HfO2 + 4 HCl(g)
HfCl4
H2O
-OH
Hf
O
Cl
H
-Hf-Cl + H2O(g) → -Hf-OH + HCl(g)
x -OH + HfCl4(g) → -O-HfCl(4-x) + x HCl(g)
purge
purge
1
2
3
HfO2 can be deposited by ALD using subsequent pulses of HfCl4 and H2O.
Phase 1 & 2: Movement of precursor in
the reaction chamber, self limiting
reaction
Phase 3 & 4: Water pulse completes the
reaction of the first layer. Also it creates
more –OH group at the surface that
allows reaction of the next layer.
‘-
48
Atomic Layer Deposition
Desorption
Decomposition
Condensation
Incomplete
reaction
< 1 monolayer per
cycle
more
less
PROCESS
WINDOW
Temperature
ALD precursor requirements:
Sufficient volatility (high VP)
Fast and complete chemisorption reaction
Avoid gas phase reactions: - stable against decomposition at ALD temperature
- no reaction with reaction products
No etching or dissolution in the film or substrate
For ALD the temperature window must be in-between condensation/incomplete
reaction and decomposition/desorption of the precursors.
ALD films and applications
Currently a whole series of ALD processes are available for various applications,
including metal deposition.
‘-
50
Illustration of an ALD system based on laminar flow.
ALD tools
ALD tools have to be optimized to handle ‘pulses’ of gases. This can for example
be achieved with a simple laminar flow reactor design. Other designs are based
on the typical ‘showerhead’design as used in regular CVD tools.
‘-
51
Atomic dimensions are now routine
Some high points of Intel's 45nm HKMG technology are: high- first, metal-gate-last integration;
optimized interfacial SiO2 layer (not shown on the picture); hafnium oxide (HfO2) gate dielectric
(1nm EOT); and dual band-edge work function metal gates (TiN for PMOS; TiAlN for NMOS).
K. Kuhn – INTEL - 2009 2nd International CMOS Variability Conference - London
Still, the advantages outweigh the disadvantages and high-/metal gates went
into full production in the 45nm technology node, first introduced by Intel.
Lecture 3,vlsi,design3_240418_074703.pdf

More Related Content

PPT
PPTX
ect 292 nanoelectronics
PDF
PVD-CVD..............................pdf
PPTX
PPTX
PPT
Thinfilm physical vapor depostion CVD2.ppt
PDF
THIN FILMS.pdf
PPT
PPT 2.ppt
ect 292 nanoelectronics
PVD-CVD..............................pdf
Thinfilm physical vapor depostion CVD2.ppt
THIN FILMS.pdf
PPT 2.ppt

Similar to Lecture 3,vlsi,design3_240418_074703.pdf (20)

PPTX
Cvd & pvd by shreya
PPTX
Cvd & pvd by shreya
PPTX
clase peliculas fotocataliticas de diferentes.pptx
PDF
1632790339123_14-Physical-Vapor-Deposition.pdf
PPTX
Thin Film Deposition techniques [Autosaved].pptx
PPT
Moye Lecture - Thin Film Deposition-Sputtering.ppt
PDF
Physical vapor deposition
PPT
Physical Vapour Deposition
PPTX
Pvd final 17 etmm10 mahfooz
PPTX
PVD Film deposition - Surface treatment technology
PPT
5744421cvd pvd bbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbb
PPT
CVD and PVD.ppt
PPTX
Chemical Vaour Deposition & Physical Vapour Deposition techniques.
PPT
CVD and PVD.ppt
PDF
Cvd and pvd
PPT
CVD AND PVD THIN FILM TECHNIQUES
PDF
Thin_Film_Technology_introduction[1]
PPTX
Advanced_Coating.pptx
PPTX
There are two approaches for the synthesis of nano material.
PPTX
Designs of Magnetron Sputtering System.pptx
Cvd & pvd by shreya
Cvd & pvd by shreya
clase peliculas fotocataliticas de diferentes.pptx
1632790339123_14-Physical-Vapor-Deposition.pdf
Thin Film Deposition techniques [Autosaved].pptx
Moye Lecture - Thin Film Deposition-Sputtering.ppt
Physical vapor deposition
Physical Vapour Deposition
Pvd final 17 etmm10 mahfooz
PVD Film deposition - Surface treatment technology
5744421cvd pvd bbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbb
CVD and PVD.ppt
Chemical Vaour Deposition & Physical Vapour Deposition techniques.
CVD and PVD.ppt
Cvd and pvd
CVD AND PVD THIN FILM TECHNIQUES
Thin_Film_Technology_introduction[1]
Advanced_Coating.pptx
There are two approaches for the synthesis of nano material.
Designs of Magnetron Sputtering System.pptx
Ad

More from RejoanaIslamBonna (6)

PDF
Lecture 2vlsi*design22_240222_074858.pdf
PDF
Lecture1for-vlsi-design1240201073518.pdf
PDF
Auto correlation(corrected) on dsp. .pdf
PPTX
Presentation on convolution sum dsp.pptx
PDF
About_dsp_convolution_correlations_andPorperties
PDF
chapter-12management9theditionbyrobbinsandcoulter-130822071045-phpapp01.pdf
Lecture 2vlsi*design22_240222_074858.pdf
Lecture1for-vlsi-design1240201073518.pdf
Auto correlation(corrected) on dsp. .pdf
Presentation on convolution sum dsp.pptx
About_dsp_convolution_correlations_andPorperties
chapter-12management9theditionbyrobbinsandcoulter-130822071045-phpapp01.pdf
Ad

Recently uploaded (20)

PPTX
Engineering Ethics, Safety and Environment [Autosaved] (1).pptx
PDF
Automation-in-Manufacturing-Chapter-Introduction.pdf
PDF
R24 SURVEYING LAB MANUAL for civil enggi
PDF
Unit I ESSENTIAL OF DIGITAL MARKETING.pdf
DOCX
573137875-Attendance-Management-System-original
PPTX
OOP with Java - Java Introduction (Basics)
PPTX
Infosys Presentation by1.Riyan Bagwan 2.Samadhan Naiknavare 3.Gaurav Shinde 4...
PPTX
Artificial Intelligence
PPTX
Safety Seminar civil to be ensured for safe working.
PDF
Enhancing Cyber Defense Against Zero-Day Attacks using Ensemble Neural Networks
PPTX
CARTOGRAPHY AND GEOINFORMATION VISUALIZATION chapter1 NPTE (2).pptx
PPTX
Construction Project Organization Group 2.pptx
PPTX
Sustainable Sites - Green Building Construction
PDF
The CXO Playbook 2025 – Future-Ready Strategies for C-Suite Leaders Cerebrai...
DOCX
ASol_English-Language-Literature-Set-1-27-02-2023-converted.docx
PPTX
CH1 Production IntroductoryConcepts.pptx
PPT
Mechanical Engineering MATERIALS Selection
PPTX
Geodesy 1.pptx...............................................
PDF
Human-AI Collaboration: Balancing Agentic AI and Autonomy in Hybrid Systems
PDF
III.4.1.2_The_Space_Environment.p pdffdf
Engineering Ethics, Safety and Environment [Autosaved] (1).pptx
Automation-in-Manufacturing-Chapter-Introduction.pdf
R24 SURVEYING LAB MANUAL for civil enggi
Unit I ESSENTIAL OF DIGITAL MARKETING.pdf
573137875-Attendance-Management-System-original
OOP with Java - Java Introduction (Basics)
Infosys Presentation by1.Riyan Bagwan 2.Samadhan Naiknavare 3.Gaurav Shinde 4...
Artificial Intelligence
Safety Seminar civil to be ensured for safe working.
Enhancing Cyber Defense Against Zero-Day Attacks using Ensemble Neural Networks
CARTOGRAPHY AND GEOINFORMATION VISUALIZATION chapter1 NPTE (2).pptx
Construction Project Organization Group 2.pptx
Sustainable Sites - Green Building Construction
The CXO Playbook 2025 – Future-Ready Strategies for C-Suite Leaders Cerebrai...
ASol_English-Language-Literature-Set-1-27-02-2023-converted.docx
CH1 Production IntroductoryConcepts.pptx
Mechanical Engineering MATERIALS Selection
Geodesy 1.pptx...............................................
Human-AI Collaboration: Balancing Agentic AI and Autonomy in Hybrid Systems
III.4.1.2_The_Space_Environment.p pdffdf

Lecture 3,vlsi,design3_240418_074703.pdf

  • 1. ‘- 1 Khulna University of Engineering & Technology Presented by Dr. Md Nur Kutubul Alam VLSI DESIGN AND TECHNOLOGY EE4121
  • 3. ‘- 3 Thin film deposition A large variety of films must be deposited during the CMOS process fabrication. This can be done by physical or chemical deposition methods. In some cases these deposition methods are assisted by a plasma. Four types of deposition technique
  • 4. ‘- 4 Physical vapor deposition Physical vapor deposition (PVD) methods rely on the physical transfer of metal atoms from a metal source to the wafer substrate, unlike chemical methods, which employ a chemical reaction. Typical PVD conditions Low pressure • Base pressure: 10-8 Torr • Deposition pressure: mTorr • Target diameter: >40cm • Water cooled target • Target-wafer distance: 5-30cm • Gas: Argon, nitrogen • Typical materials:Al, Cu, Ta, Ti, Co • Substrate: cooling, heating • RF-bias possible PVD: Deposition based on vaporizing solid materials by heating or sputtering, followed by condensing the vapor on the substrate surface.
  • 5. ‘- 5 A typical system comprises a process chamber, a vacuum system, and a metal heating system. Wafers are usually mounted upside down on a hemispherical chamber ceiling, which may include a planetary system to rotate the wafers for improved uniformity. The metal to be deposited is placed in metal “boat” or ceramic crucible. The metal is heated usually to 500–2500ºC (depending on the metal) to increase the vapor pressure. After a warm-up period, a physical shutter is used to precisely start and end the deposition onto the wafers. A quartz crystal microbalance (QCM) mounted inside the chamber monitors the deposition, and can provide feedback signals for automated control. Simplest possible technique you can do. Here Substrate remains at room temperature therefore it’s a low temperature technique. PVD: evaporation Evaporative deposition, or more commonly just “evaporation”, is a fairly straightforward method for metal deposition. The basic concept is to heat a metal sufficiently to create a vapor, which diffuses and re-condenses in solid form on other surfaces. This process is usually performed in high-vacuum conditions (below 10−5torr) so as to limit gaseous molecular scattering and to create a high- purity process environment. Note that, although the metal to be evaporated is obviously very hot, the wafer substrate usually remains at room temperature, unless intentionally heated or cooled. Also, because of the very low chamber pressures, the metal vapor tends to follow a straight path, leading to very directional deposition and poor sidewall coverage.
  • 6. ‘- 6 Mechanical shutter: – Evaporation rate is set by temperature of source, but this cannot be turned on and off rapidly. A mechanical shutter allows evaporant flux to be rapidly modulated. PVD: evaporation Material is heated to attain gaseous state – Resistive heating – Electron beam evaporation – Ion beam evaporation – Inductive heating evaporation Carried out under high-vacuum conditions (~5x10-7 torr) Advantages – Films can be deposited at high rates – Low energy atoms (~0.1 eV) leave little surface damage – Little residual gas and impurity incorporation due to high vacuum conditions – No substrate heating
  • 7. ‘- 7 PVD: evaporation Thermal Evaporation The simplest evaporation systems use joule heating to heat the metal charge. The dissipative heat can be created by direct conduction currents or magnetic- field-induced eddy currents. In the simpler conductively heated systems, high currents are passed through wound coils or a small metal boat (usually tungsten), inside of which sits the charge. The resistive heating of the boat facilitates deposition of relatively low-melting-point metals such as Ag, Al, and Au. Evaporation of higher-melting-point refractory metals such as Ta, W, Mo, and Ti is challenging because these require very high temperatures to achieve reasonable vapor pressures and deposition rates. Because of this, the use of metal boats and direct conductive heating may not be permissible. Instead inductive heating can be used where the metal sits in a ceramic crucible that is surrounded by a coil. RF excitation of the coil is used to induce eddy currents in the metal. This approach permits a wider range of metals, but the crucible itself may become very hot, which can result in contamination.
  • 8. ‘- 8 PVD: evaporation E-Beam Evaporation Another configuration for evaporation uses a directed electron beam to bombard the metal charge. The electron beam source is usually underneath the metal charge. Strong magnetic fields are used to steer the electron beam in a 270◦ circular arc to impinge on the charge. Although more complicated, the advantage of this approach is that the electron beam heats a central portion of the charge: the outer area of the charge and crucible remain at lower temperatures, so as to minimize contamination. Typical emission voltage: 8-10 kV. Exposes substrates to secondary electron radiation. – X-rays can also be generated by high voltage electron beam. 270° bent beam electron gun is most preferred: – Filament is out of direct exposure from evaporant flux. – Magnetic field can be used for beam focusing and beam positioning. – Additional lateral magnetic field can be used produce X-Y sweep Here we apply magnetic field to bend e-. So if we have any contaminating ion, that bends differently. Thereby it doesn't reach the crucible. Therefore it is much more pure
  • 9. ‘- 9 Condensation control of the evaporant is achieved through control of the substrate temperature Ts. • Higher substrate temperatures: – Increase thermal energy of adsorbed molecules. – Increase surface diffusivity of adsorbed molecules. – Performs annealing of deposited film. End point control: • Quartz crystal thickness monitors (QCMs) – Based on a quartz crystal oscillator where the quartz crystal is exposed to the evaporant flux. – An increase in mass on the surface of the crystal will shift the oscillation frequency in a predictable manner, from which the deposited thickness can be determined from the change in the oscillation frequency. • Optical interference, absorption, or reflectivity – A more sensitive technique better suited for optical coatings. Deposition control Quartz crystal Epi-layer
  • 10. ‘- 10 Like evaporation, sputtering is a physical process, but the transport of the source material to the substrate is produced by an energized plasma. A plasma is used to create a source of impinging ions which are accelerated into a target which is the source material. – Argon is the most common gas used for sputtering. The impinging ions knock loose atoms of the target by physical sputtering. The sputtered atoms travel back through the plasma and are deposited on the substrate. Advantages • Sputtering does not require the source or target material to be heated to its evaporation temperature. • Sputtering provides better utilization of the source or target material. • Sputtering does not cause much compositional change in the source material. – Alloys and mixed composition targets can be directly deposited. Disadvantages • An entire target must be obtained before any sputtering can be done. • Uniformity requires effort. Sputtering
  • 11. ‘- 11 PVD: sputtering Accelerated ions, usually Ar+, knock out atoms in a solid target by bombardment in a potential gradient environment. During the bombardment, momentum exchange occurs between the ions and the atoms of the surface of the target. The energized atoms are volatile and spread out as a vapor to land on the vicinity surface and the sample substrate. The sputtering process requires a vacuum environment, which is prepared by pumping out a stainless steel chamber enclosing the anode, the cathode, the target, the substrate, and so on. The chamber is evacuated to a base pressure of 10−6torr or lower. Then a bombardment gas, usually Ar, is introduced to the chamber and maintained around 1–10 mtorr level. The Ar gas is ionized into Ar+ by applying bias voltage between the anode and the cathode. To obtain uniform thickness of a thin-film metal layer, mechanical movement such as rotation of the substrate holder can be used during the sputtering process. The rotational speed of the stage ranges from 10 to 30 rpm. The deposition rate is a function of many parameters including target-to-substrate distance, ion energy, the mass of the ion species, the mass of the target material, and the like.
  • 12. ‘- 12 PVD: sputtering Depending on the voltage waveforms used to generate the plasma, the sputtering process is categorized as either direct current (DC) sputtering or radio frequency (RF) sputtering. Schematics of (a) DC and (b) RF sputtering systems Most often DC plasma is used. But if you need to deposit a non-conductive material you have to use RF sputtering. Otherwise your film will charge up that will change the local electric field. That would spoil or even stop your deposition.
  • 13. ‘- 13 Step coverage Sputtered metal deposition in a densely placed high-aspect-ratio structure. Sputtering can provide reasonably conformal coatings on uneven surfaces, but conformal deposition on high aspect ratio structures remains an issue. The step-coverage of a sputtered thin film in a via hole has been calculated, where the profile shows a high deposition rate on the top surface and a low deposition rate on the sidewall. As a result, the sidewall thickness tapers down toward the bottom. For a very high aspect ratio, the bottom portion may not have sufficient metal coverage due to limited mass transfer into the narrow entrance of the via hole and the higher pressure environment in the chamber. This kind of poor coverage is more significant in high-aspect-ratio vias or trenches as compared to high-aspect-ratio pillars or walls.
  • 14. ‘- 14 Pulsed laser deposition (PLD) Pulsed laser deposition (PLD) is another method for depositing materials, especially metals. The system uses a high-energy laser beam (typically 108 W/cm2) to strike a metal target within a vacuum chamber. The laser beam melts, evaporates, and ionizes a region of the target. This ablation process creates a vapor plume that transfers material to the sample wafer. One major advantage of PLD is the precise stoichiometry/composition control and relatively fast deposition rates. Ideally the deposited material possesses the same chemical composition as the metal target. High quality crystalline deposits are also possible with substrate heating. The biggest drawback is that most PLD systems can only provide uniform deposition over a small surface area, sometimes only about one square centimeter. This decreases the utility of PLD for volume manufacturing. Schematic of a pulsed laser deposition tool.
  • 15. ‘- 15 Electrochemical deposition Electrochemical deposition involves the reduction of metal ions from aqueous, organic, or fused-salt electrolytes. The reduction of metal ions Mz+ in aqueous solution is represented by: Mz+ (metal ion in solution) + z e− (electrons) → M (metal deposit) Two processes can be used to provide the electrons for the reduction reaction: (1) electroplating (or electrodeposition), where an external power supply provides the electrons, (2) electroless deposition, where a reducing agent provides the electrons. Schematic of a general electrochemical deposition cell (using soluble anode).
  • 16. ‘- 16 Chemical vapor deposition Chemical vapor deposition or CVD is a generic name for a group of processes that involve depositing a solid material from a gaseous phase. Continuous film Substrate 2) Dissociation of reactants by electric fields 3) Film precursors are formed 4) Adsorption of precursors 5) Precursor diffusion into substrate 6) Surface reactions by-products 8) By-product removal Exhaust 1) Reactants enter chamber Gas delivery By-products Electrode Electrode RF field Parameters: • Gas composition • Gas flow • Temperature • Pressure • Frequency • RF power This is a plasma enhanced CVD. Using plasma allows to reduce the substrate temperature. Because you can supply energy into the reactants from your plasma. But there is a risk, the plasma can damage the film you deposited. So you have to balance properly Plasma energy can be used to accelerate the deposition process by activating the precursors. This results in higher deposition rates at lower temperatures and better film quality. The charged byproducts deposit anisotropically. 7) Desorption of RF generator
  • 17. ‘- 17 Fundamental processes during CVD Fundamental processes during CVD • Reactant gases (precursors) are pumped in to a reaction chamber (reactor). • Under the right conditions (T, P), they undergo a reaction at the substrate. • One of the products of the reaction gets deposited on the substrate. • The by-products are pumped out. • The key parameters are chemical (reaction rates, gas transport, diffusion). Deposition takes place due to a chemical reaction between some reactants on the substrate.
  • 18. ‘- 18 Mean free path of gas molecules CVD processes can be performed at a range of pressures. In low pressure CVD the mean free path of the active species is longer than the typical topography dimensions, resulting in a more uniform coverage. Kamins 2000 Typical pressure of CVD processes : ] Mean Free Path is larger ] than typical topography dimension – 0.01 Torr (HDP) – 0.1-0.5 Torr (LPCVD) – 760 torr (APCVD) Most important thing for CVD is pressure. Cheapest way is to deposit at room temperature. But at low pressure you get larger mean free path. If you make the mean free path of the molecule larger than your structure, you can deposit very high quality, uniform film.
  • 19. ‘- 19 Chemical vapor deposition The choice of chemical reactions is determined by various parameters: • The precursors have to be volatile (gaseous). • The chemical reactions need to be thermodynamically predicted to result in a solid film. This means that there should be an energy advantage for the desired reaction to occur, meaning the Gibbs Free Energy (GFE) has to decrease. Temperature and pressure can be adjusted forΔG< 0. • The by-products need to be volatile (gaseous). Importance of the Gibbs Free Energy (GFE) in CVD processes: • GFE is a measure of the total available energy in a system. • If the overall GFE of the reactants is greater than the overall GFE of the products, that reaction is thermodynamically favorable. • The equations relating the GFEs also determine the reaction rates. • All of these quantities are affected by temperature and pressure.
  • 23. ‘- 23 Deposition process Precursor arrives surface Migrate on the surface React on the surface Nucleation: Island formation Islands grow Islands grow, cross-section Islands merge Continuous thin film Deposition occurs initially through nucleation on the surface, followed by island growth. These islands merge into continuous films. The mobility of the atoms on the surface is an important parameter in the CVD process and will have a large effect on the final film properties.
  • 24. ‘- 24 CVD nucleation First the barrier to nucleation (creating a nucleus increases surface energy) has to be overcome. Two types of nucleation exist: - Homogenous: Nuclei are formed in vapor form before being deposited and do not incorporate into the crystal structure of the film. - Heterogeneous: Nuclei are formed on the substrate and incorporate into the film structure more easily.
  • 25. ‘- 25 Surface interactions Distance from surface Bonding energy Surface adsorption Interaction between an adsorbing molecule (precursor) and a solid surface Determines precursor surface mobility ▪ Physical adsorption (physisorption) – Weak bond between surface and precursor – Bonding energy usually less than 0.5 eV • Hydrogen bonding, Van der Waals forces – Ion bombardment and thermal energy at 400C can cause migration of physisorbed precursors – High surface mobility ▪ Chemical adsorption (chemisorption) – Actual chemical bonds between surface atom and the adsorbed precursor molecule – Bonding energy usually exceeding 2 eV – Low surface mobility – Ion bombardment with 10 to 20 eV energy in PECVD processes can cause some surface migration of chemisorbed precursors ▪ Self-limiting chemisorption – Precursor does not deposit on other, already adsorbed, precursor molecules, only on the free surface Sticking coefficient: The probability that precursor atom forms chemical bond with surface atom in one collision Van der wall or H bonds. They are relatively mobile Physisorbed precursor Chemisorbed precursor Substrate surface Chemisorbed. Very sticky with the surface
  • 26. ‘- 26 Modes of thin film growth: 3 growth mechanisms Substrate with very low surface energy: Energetically it is more favorable for the reactants to bond with each other than to bond with the substrate. Then you get island growth.
  • 27. ‘- 27 Volmer - Weber (island growth) • Smallest stable clusters nucleate and grow in three dimensions • Atoms in the deposit are more strongly bound to each other than to the substrate • Low surface mobility • Typical for many metals on insulators Island growth Frank - van der Merwe (layer-by-layer growth) • Smallest stable clusters nucleate and grow in two dimensions. • Atoms of the deposit are more strongly bound to (steps of) the substrate than to each other. • Monotonic decrease of bonding energy down to the bulk crystal value • High surface mobility • Single-crystal epitaxial growth Layer growth Stranski - Krastanov • Layer growth merges into island growth after deposition of a few monolayers. • Transition caused by disturbances of the monotonic decrease of bonding energy (lattice misfit, relaxation of internal stress) • Metal - metal and metal - semiconductor systems Island growth Layer growth Basic modes of thin film growth
  • 28. ‘- 28 Film deposition In a simplified model, as gas flows over the substrate film growth is determined by adsorption and reaction rates. The deposition rate depends on the process conditions.
  • 29. ‘- 29 CVD deposition regimes Two limiting cases can be distinguished: • hG >> kS : reaction limited growth • Chemical reaction rate can’t match precursor diffusion and adsorption rates. The precursors pile up on the substrate surface and wait their turn to react. • Growth controlled by processes on surface: adsorption, decomposition, surface migration, chemical reaction, desorption of products • kS is highly temperature dependent (increases with T ) • This is the common limit at lower temperatures • Temperature and reactant choices are important •kS >> hG : transport limited growth • When surface chemical reaction rate is high enough, the chemical precursors react immediately when the adsorb on the substrate surface. • Growth controlled by transfer to substrate • hG is not very temperature dependent • This is common limit at higher temperatures • Gas dynamics and reactor design are important to obtain uniform film growth
  • 30. ‘- 30 CVD deposition regimes Single wafer RTCVD Batch LPCVD Most batch LPCVD systems operate in the surface reaction rate limited regime as temperature can be precisely controlled and stabilized in these systems. Single wafer RTCVD systems usually operate in mass transport limited regime as precise temperature control is more difficult to achieve in rapid thermal processing tools but, on the other hand, gas flows can be controlled very well.
  • 31. ‘- 31 Typical single wafer CVD module used in a cluster tool. Single wafer CVD in a cluster tool Single wafer PECVD
  • 33. ‘- 33 Silane Based LPCVD or APCVD (~ 400°C) or HDP SiH4 + 2 O2 → SiO2 + 2 H2O PECVD (~ 400°C) SiH4 + N2O DCS based → SiO2 + Products HTO (~ 800°C) SiH2Cl2 + N2O → SiO2 + Products TEOS based LPCVD TEOS (~ 650°C) TEOS (+ O2) → SiO2 + Products TEOS/Ozone (400-600°C) TEOS + O3 → SiO2 + Products PECVD (~ 400°C) TEOS + O2 → SiO2 + Products C2H5 O C2H5 O Si O C2H5 O C2H5 For safety issue, people changed into this one. Just oxidize this structure, you oxidize away your hydrocarbon, and you expose the SiO2 Tetra Ethyl Ortho Silicate Oxide deposition processes Silane is dangerous. It spontenously catches fire if it comes in contact with the O2 and ambient. So from gas leak or whatever, you can have fire in your clean room. DCS has Cl2 in it, which is very corrosive. Dangerous for health.
  • 34. ‘- 34 The step coverage is a measure of the deposited film reproducing the slope of a step on the substrate surface. It is one of the most important specifications in CVD processes has a very good step coverage: – Sidewall step coverage – Bottom step coverage – Conformality – Overhang Step coverage depends on surface mobility. Larger surface mobility gives better step coverage. Step coverage of CVD
  • 35. ‘- 35 Polycrystaline silicon Poly- Si ▪ Applications • Gate electrodes, local interconnect, capacitor plate, TFT, Microsystems, … ▪ Physical Structure: • Crystalline grains, separated by grain boundaries • Under certain conditions, Si is deposited in amorphous state (not crystallized) Deposition ▪ High temperature (~ 600-700˚C) furnace LPCVD processes. ▪ Silane (SiH4) or DCS (SiH2Cl2) as silicon source gases. • Nitrogen as purge gas • Arsine (AsH3), Phosphine (PH3), and Diborane (B2H6) used as dopant gases. ➔ Silane process SiH4 Silane Heat → Si + Poly-Si H2 Hydrogen ➔ DCS process Heat SiH2Cl2 → Si Dichlorosilane Poly-Si + 2HCl Hydrochloride This is the same chemistry. Just you dont start from a epitaxial surface, you get a poly Si. Also depending on temperature, you can get polycrystalline Si
  • 36. ‘- 36 T<550˚C Amorphous Si T > 900˚C Single Crystal Si 550˚C <T< 900˚C Polysilicon Grain Boundary Grain Crystal structure of Silicon deposited with silane as source gas on single crystal silicon substrate depends on temperature: • T > 900 ˚C deposit single crystal silicon • 900 ˚C > T > 550 ˚C deposit polysilicon • T < 550 ˚C deposit amorphous silicon Microstructure of Si CVD films
  • 37. ‘- 37 In MBE, the constituent elements of a semiconductor in the form of ‘molecular beams’ are deposited onto a heated crystalline substrate to form thin epitaxial layers. An important feature is that growth rates are typically on the order of a few Å/s and the beams can be shuttered in a fraction of a second, allowing for nearly atomically abrupt transitions from one material to another. The ‘molecular beams’ are typically from thermally evaporated elemental sources, but other sources include metal-organic group III precursors (MOMBE), gaseous group V hydride or organic precursors (gas-source MBE), or some combination (chemical beam epitaxy or CBE). T o obtain high-purity layers, it is critical that the material sources be extremely pure and that the entire process be done in an ultra-high vacuum environment. Molecular Beam Epitaxy (MBE)
  • 38. ‘- 38 Effusion: the process where individual molecules flow through a hole without collisions. - The source material is heated to vapor phase. - Ultra-low pressure in UHV leads to molecules with mean free paths of hundreds of meters. - Opening in effusion cell is small – molecules travel straight out of it with no collisions, forming a beam. A typical MBE system may feature 8 effusion cells. The Crucible is constructed of pyrolytic boron nitride (PBN) to withstand temperatures up to 1400°C Effusion sources
  • 39. ‘- 39 MBE characteristics • “Low” growth rates: VG can vary from 0.01ML/s to 1.5 ML/s (max) • Smooth growth surface with steps of atomic height and large flat terraces • Precise control of surface composition and morphology • Abrupt variation of chemical composition at interfaces • in-situ control of crystal growth at the atomic level • Wide range of materials can be deposited: Semiconductors IV-IV: microelectronic (MOSFET, HBT, …): Si, Ge, & C III-V: optoelectronic (lasers, LED, HEMT, HBT, …) : Arsenides (GaAs, AlAs, InAs), Antimonides (GaSb, InSb), Phosphides (InP, GaP), Nitrides (GaN, InN, …) II-VI: spintronic, optoelectronic (lasers, …), ZnO, ZnSe, CdS, HgTe Functional oxides SrTiO3, BaTiO3, … Al2O3, LaAlO3, Gd2O3, …
  • 40. ‘- 40 III-V growth techniques: MBE The main benefit of using the MBE growth technique resides in the possibility to use a wide range of in-situ diagnostic tools such as ion gauge, quartz crystal microbalance, mass spectrometer and characterization techniques like pyrometry, ellipsometry spectroscopy or normal incidence reflectometry (thickness measurement) and Reflection High Energy Electrons Diffraction (RHEED). Electrons gun 30kV incident beam Sample CCD camera Phosphorescent screen Incidence angle θ Diffracted beams a 1/a Specular spot Volumes' diffraction lines RHEED setup The possibility to use RHEED is by far the key advantage of the MBE growth approach as we can study in real time the crystalline properties of the layer (amorphous, polycrystalline, monocrystalline, textured), the surface morphology and roughness (2D, 3D) the growth kinetics (growth rate), the native oxide removal from substrate surface (surface deoxidation) and investigate the atomic arrangement of the surface (surface reconstruction). After C.Merckling, imec
  • 41. (0,0) (0,1) Si(100) - 1x1 [110] [110] [110] [001] Si(100) - 2x1 2x1 surface periodicity : Si-Si dimer rows (0,-1) (0,0) (0,1) (0,-½) (0,½) 1x1 unreconstructed Si surface (0,-1) RHEED: surface reconstructions
  • 42. ‘- 42 III-V growth techniques: MBE and MOVPE MBE crystal growth technique is particularly appreciated by scientists in the area of surface, interface and growth science. However, for production purposes, due to the need for ultra- high vacuum, the complex maintenance of the phosphide reactors and the low growth rates, MBE technology is only preferred for low volume production of very specific heterostructures where a perfect control of the interfaces is necessary for the final devices like for example Heterojunction Bipolar Transistors (HBT), High Electron Mobility Transistors (HEMT) or Quantum Cascade Lasers (QCL). Metal Organic Vapor Phase Epitaxy (MOVPE) is far more suited for large volume production. MOVPE is a chemical vapor phase deposition technique based on chemical reactions of mixed gases onto a heated substrate. For MOVPE, the element sources are not metals but precursors with high vapor pressure around room temperature. These precursors can be either hydrides or metal organics in which the metal is bonded to organic radicals. After C.Merckling, imec
  • 43. ‘- 43 III-V growth techniques: MOVPE An overview of the most commonly used MOVPE precursors for group-III, group-V and dopant elements for III-V epitaxy is given in the table below. After C.Merckling, imec List of the different hydrides and metal organic precursors used for III-V epitaxy by MOVPE. If the hydride sources are packaged in pressurized bottles, the metal organic sources, that can be either liquid or solid, are contained in a bubbler in which the ultra-pure carrier gases, N2 or H2, can be introduced to carry the precursor molecules to the reactor.
  • 44. ‘- 44 III-V growth techniques: MOVPE The bubbler is put in a thermal bath to accurately control the precursor temperature and together with a set of mass flow controllers at the inlet of the bubbler and a pressure controller at the outlet, an excellent control of the precursor concentration held in the carrier gas is obtained. Schematic diagram of a MOVPE system including the gas mixing system of the different elements, the reactor area and the exhaust region The carrier gas containing the different precursors is injected into the reactor at reduced pressure, between 50 mbar and 500 mbar, on a rotating and heated substrate where the epitaxy process will take place. Due to the high pressure during the growth process, much higher growth rates can be achieved while maintaining a high epitaxial quality. However, for more complex heterostructures, lower growth rates, comparable to MBE technology, need to be used to obtain abrupt interfaces leading to an equivalent layer quality for both growth techniques.
  • 45. ‘- 45 Atomic Layer Deposition (ALD) is a deposition method that uses a cyclic process of self-limiting chemisorption reactions of gas phase precursors to deposit a thin film on a substrate. It is ideally suited to deposit thin films on structured surfaces and has become the major method to deposit high- dielectrics. Atomic Layer Deposition (ALD) Phase 1 : Precursor pulse Phase 2 : Precursor purge Phase 3 : Water pulse Phase 4 : Water purge (…) Major principles of ALD: • Precursor gases or vapors are alternately pulsed on to the substrate surface. • Precursor gases introduced on to the substrate surface will chemisorb or surface reaction takes place at the surface. Phase 2: Precursor Purge Phase 3: Water pulse Phase 4: Water pulse In MOCVD two precursors are always present in the reaction chamber. But in ALD only one is present at a time. ALD is a surface driven technique.
  • 46. ‘- 46 Self-limiting chemisorption Association Dissociation Chemisorption mechanisms Surface reactions in ALD are all self-limiting. This self-limiting characteristics of the process steps is the foundation of ALD. Most ALD processes are based on a chemisorption saturation process followed by an exchange reaction. → → → Ligand exchange • limited number of reactive surface sites You start with an -OH group. Then supply HfCl4 or ZrCl4. The Chlorides are relatively big atoms. Once one of the Chlorine is replaced and Hf/Zr bonds with the -OH group, the layer of -OH is completely covered. Therefore no further reaction is possible.
  • 47. ‘- 47 ALD of HfO2 using HfCl4 and H2O HfCl4(g) + 2 H2O(g) → HfO2 + 4 HCl(g) HfCl4 H2O -OH Hf O Cl H -Hf-Cl + H2O(g) → -Hf-OH + HCl(g) x -OH + HfCl4(g) → -O-HfCl(4-x) + x HCl(g) purge purge 1 2 3 HfO2 can be deposited by ALD using subsequent pulses of HfCl4 and H2O. Phase 1 & 2: Movement of precursor in the reaction chamber, self limiting reaction Phase 3 & 4: Water pulse completes the reaction of the first layer. Also it creates more –OH group at the surface that allows reaction of the next layer.
  • 48. ‘- 48 Atomic Layer Deposition Desorption Decomposition Condensation Incomplete reaction < 1 monolayer per cycle more less PROCESS WINDOW Temperature ALD precursor requirements: Sufficient volatility (high VP) Fast and complete chemisorption reaction Avoid gas phase reactions: - stable against decomposition at ALD temperature - no reaction with reaction products No etching or dissolution in the film or substrate For ALD the temperature window must be in-between condensation/incomplete reaction and decomposition/desorption of the precursors.
  • 49. ALD films and applications Currently a whole series of ALD processes are available for various applications, including metal deposition.
  • 50. ‘- 50 Illustration of an ALD system based on laminar flow. ALD tools ALD tools have to be optimized to handle ‘pulses’ of gases. This can for example be achieved with a simple laminar flow reactor design. Other designs are based on the typical ‘showerhead’design as used in regular CVD tools.
  • 51. ‘- 51 Atomic dimensions are now routine Some high points of Intel's 45nm HKMG technology are: high- first, metal-gate-last integration; optimized interfacial SiO2 layer (not shown on the picture); hafnium oxide (HfO2) gate dielectric (1nm EOT); and dual band-edge work function metal gates (TiN for PMOS; TiAlN for NMOS). K. Kuhn – INTEL - 2009 2nd International CMOS Variability Conference - London Still, the advantages outweigh the disadvantages and high-/metal gates went into full production in the 45nm technology node, first introduced by Intel.