INGENIER´IA DE COMPUTADORES III Ejercicio de autocomprobaci´on 2
INSTRUCCIONES:
1. Resuelva este ejercicio en las mismas condiciones en que realizar´a el examen: dos horas
de tiempo y sin emplear ning´un material.
2. Revise sus contestaciones, empleando para ello el texto y el simulador que est´e usando
para estudiar la asignatura.
3. Compare sus respuestas revisadas con la soluci´on.
Pregunta 1 (3 puntos)
Escriba en VHDL la architecture que describe el comportamiento de un divisor de frecuencias
por 3, con se˜nal de reset as´ıncrona activa a nivel bajo. El c´odigo VHDL de la entity del divisor
de frecuencias se muestra a continuaci´on.
entity divisor_frecuencia_3 is port(
clk3 : out std_logic;
clk, resetn: in std_logic );
end entity divisor_frecuencia_3;
La salida clk3 es una se˜nal peri´odica con la misma forma de onda que la se˜nal clk, pero
con un tercio de su frecuencia. Es decir, un periodo de la se˜nal clk3 se corresponde con tres
periodos de la se˜nal clk. Los cambios s´ıncronos en la se˜nal clk3 se producen en el flanco
de la se˜nal clk. La entrada resetn pone as´ıncronamente la se˜nal clk3 a 0, manteni´endose
dicho valor mientras el valor de resetn valga 0.
Puede tomar las decisiones de dise˜no que estime convenientes, siempre y cuando las argumen-
te y no est´en en contradicci´on con las especificaciones anteriores.
Pregunta 2 (2 puntos)
Escriba en VHDL la entity y la architecture que describe:
2.a) (0.25 puntos) El comportamiento de una puerta NOT.
2.b) (0.25 puntos) El comportamiento de una puerta XOR de 2 entradas.
2.c) (1.5 puntos) La estructura de un circuito combinacional detector de paridad de n´umeros
de n bits, con n >= 2. La salida del circuito es 1 si la entrada tiene un n´umero par de
unos. En cualquier otro caso, la salida del circuito es 0. La architecture debe describir
la estructura del circuito combinacional, instanciando y conectando adecuadamente las
puertas l´ogicas NOT y XOR necesarias. Emplee las sentencias generic, generate y las
puertas l´ogicas cuyo dise˜no ha realizado al contestar los dos apartados anteriores.
Pregunta 3 (3 puntos)
Programe en VHDL el banco de pruebas del circuito combinacional que ha dise˜nado al contes-
tar a la Pregunta 2c. Suponga que el n´umero de bits que tiene como entrada el circuito es 3 (es
decir, n = 3). Explique detalladamente c´omo el programa de test comprueba exhaustivamente
el valor de la UUT para todos los posibles valores de la entrada. El banco de pruebas debe
comprobar que los valores obtenidos de la UUT coinciden con los esperados, mostrando el
correspondiente mensaje en caso de que no coincidan. Al final del test, debe mostrarse un
mensaje indicando el n´umero total de errores.
Pregunta 4 (2 puntos)
A continuaci´on, se muestra el dise˜no de cuatro circuitos. La entity de todos ellos es la siguien-
te.
entity ffd is port(
q : out std_logic;
d, clk, rst: in std_logic );
end entity ffd;
La architecture de cada uno de los circuitos se muestra a continuaci´on (v´ease Soluci´on 1, 2,
3 y 4).
---- Solucion 1-------------
architecture arch1 of ffd is
begin
process (clk, rst)
begin
if (rst = ‘1’) then
q <= ‘0’;
elsif (rising_edge(clk)) then
q <= d;
end if;
end process;
end arch1;
---- Solucion 2-------------
architecture arch2 of ffd is
begin
process (clk)
begin
if (rst = ‘1’) then
q <= ‘0’;
elsif (rising_edge(clk)) then
q <= d;
end if;
end process;
end arch2;
---- Solucion 3-------------
architecture arch3 of ffd is
begin
process (clk)
begin
if (rst = ‘1’) then
q <= ‘0’;
elsif (clk = ‘1’) then
q <= d;
end if;
end process;
end arch3;
---- Solucion 4-------------
architecture arch4 of ffd is
begin
process (clk, rst, d)
begin
if (rst = ‘1’) then
q <= ‘0’;
elsif (clk = ‘1’) then
q <= d;
end if;
end process;
end arch4;
Para cada circuito, explique detalladamente si tiene la funcionalidad de un flip-flop D con
se˜nal de reset as´ıncrona.

Más contenido relacionado

PDF
Tema ii integrales uney
PPT
Recursividad
DOCX
Problemario de analisis de algortimos
DOCX
Compuertas lógicas
PPT
learning Vector Quantization LVQ2 LVQ3
PPT
Recursividad
PDF
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS EMBEBIDOS, 2do Parcial (2020 PAO 1)
PPT
Learning Vector Quantization LVQ
Tema ii integrales uney
Recursividad
Problemario de analisis de algortimos
Compuertas lógicas
learning Vector Quantization LVQ2 LVQ3
Recursividad
⭐⭐⭐⭐⭐ SOLUCIÓN LECCIÓN SISTEMAS EMBEBIDOS, 2do Parcial (2020 PAO 1)
Learning Vector Quantization LVQ

La actualidad más candente (20)

PDF
Utp 2015-2_ia_lab3
PPT
Complejidad de Algoritmos
DOC
Unidad 4 est. dat. recursividad
PPTX
Aplicacion de una EDO de segundo grado a los circuitos en serie
PPTX
EDO de Segundo Orden en Circuitos RLC en serie
DOCX
Ejerciciosparaelprimerparcial
PPT
ANALISIS DE ALGORITMOS
PDF
Utp 2015-2_sirn lab2
PDF
Aprendizaje de un perceptrón simple
PDF
Utp ia_2014-2_s10_redes de funciones de base radial
PDF
Analisis Algoritmo
PPT
Complejidad Computacional
PDF
Tema 3 transformada_z
PDF
Utp 2015-2_ia_lab1.pdf
DOCX
Leccion3 y4 agosto25_2011solucion
PPT
Resumen Complejidad Computacional y de Algoritmos
PDF
Parcial II de Sistema de Operación – Semestre 201415
DOCX
Practica 7 Flip Flop
PPTX
U1 Analisis Algoritmos Complejidad
PDF
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2020 PAO 1)
Utp 2015-2_ia_lab3
Complejidad de Algoritmos
Unidad 4 est. dat. recursividad
Aplicacion de una EDO de segundo grado a los circuitos en serie
EDO de Segundo Orden en Circuitos RLC en serie
Ejerciciosparaelprimerparcial
ANALISIS DE ALGORITMOS
Utp 2015-2_sirn lab2
Aprendizaje de un perceptrón simple
Utp ia_2014-2_s10_redes de funciones de base radial
Analisis Algoritmo
Complejidad Computacional
Tema 3 transformada_z
Utp 2015-2_ia_lab1.pdf
Leccion3 y4 agosto25_2011solucion
Resumen Complejidad Computacional y de Algoritmos
Parcial II de Sistema de Operación – Semestre 201415
Practica 7 Flip Flop
U1 Analisis Algoritmos Complejidad
⭐⭐⭐⭐⭐ SOLUCIÓN EVALUACIÓN SISTEMAS EMBEBIDOS, 1er Parcial (2020 PAO 1)
Publicidad

Destacado (20)

PDF
Manual Imagen Corporativa
PPTX
Manejo de las tecnologías de la información y comunicación
PPT
Joomla09
PPTX
Aula virtual
PDF
Aspectos fundamentales del monte dobra
PPTX
Emprendimiento
PPTX
El uso de fraccionarios y decimales en nuestro
PPT
Epistemología y comunicación
PPT
Ciencia del pequeño detalle
PDF
Ley n° 317 de 11 12-2012 - ley del presupuesto general del estado - gestión 2013
PPSX
PPTX
Mapa formulacion elizar anka
DOC
Seminaro optativo ambiente y problemas ambientales, para divulgacion
PDF
Ind 05 osha 3134 exposicion a patogenos transmitidos por
PPT
Martiniano carrera hilario
PPTX
Laly
PDF
Novedades invierno 2015
DOC
Reglamento interno cidea[1]
PDF
Recursos disponibles
PDF
La web 2.0 y sus aplicaciones en salud
Manual Imagen Corporativa
Manejo de las tecnologías de la información y comunicación
Joomla09
Aula virtual
Aspectos fundamentales del monte dobra
Emprendimiento
El uso de fraccionarios y decimales en nuestro
Epistemología y comunicación
Ciencia del pequeño detalle
Ley n° 317 de 11 12-2012 - ley del presupuesto general del estado - gestión 2013
Mapa formulacion elizar anka
Seminaro optativo ambiente y problemas ambientales, para divulgacion
Ind 05 osha 3134 exposicion a patogenos transmitidos por
Martiniano carrera hilario
Laly
Novedades invierno 2015
Reglamento interno cidea[1]
Recursos disponibles
La web 2.0 y sus aplicaciones en salud
Publicidad

Similar a Ic3 enunciado ejerc2 (2) (20)

PDF
Electrónica digital: Maquina de estado
PDF
Ic3 enunciado ejerc1 (2)
PDF
Ic3 enunciado ejerc1 (2)
PDF
Fgl029 guiano2arquitecturasvhdl (1)
PDF
7_vhdl.pdf
PDF
practica 3 labo 2014_escribe tu nombre en displays
PPT
REDES NEURONALES COMPETITIVAS HAMMING
PPTX
Diseño de circuitos lógicos y movimiento.pptx
DOCX
Circuitos combinacionales
DOCX
Practica 1 de ingeniería de control: Análisis de la respuesta transitoria de ...
PPT
Redes Neuronales
PDF
Electrónica digital: Practicas spartan 3E
PDF
Practica 2 ed c fsm verilog - 24 marzo 2014 (2)
PPTX
Mallas 091113110741-phpapp01
DOC
Tarea 1 iee446 1_s2021
PDF
Diseño de Filtros Analógicos
PDF
Programas en lenguaje ensamblador
PDF
Detector de secuencia no solapada 1011 empleando PLA
DOCX
Tema 67 control programado
PDF
Das wissen von morgen (revista)
Electrónica digital: Maquina de estado
Ic3 enunciado ejerc1 (2)
Ic3 enunciado ejerc1 (2)
Fgl029 guiano2arquitecturasvhdl (1)
7_vhdl.pdf
practica 3 labo 2014_escribe tu nombre en displays
REDES NEURONALES COMPETITIVAS HAMMING
Diseño de circuitos lógicos y movimiento.pptx
Circuitos combinacionales
Practica 1 de ingeniería de control: Análisis de la respuesta transitoria de ...
Redes Neuronales
Electrónica digital: Practicas spartan 3E
Practica 2 ed c fsm verilog - 24 marzo 2014 (2)
Mallas 091113110741-phpapp01
Tarea 1 iee446 1_s2021
Diseño de Filtros Analógicos
Programas en lenguaje ensamblador
Detector de secuencia no solapada 1011 empleando PLA
Tema 67 control programado
Das wissen von morgen (revista)

Más de pepe lopez (14)

DOCX
Origen filosofia
PDF
Tema2
PDF
Preguntas de conocimiento del medio
PDF
PDF
PPT
Comentario ren barroc o
PDF
Folleto general de la rioja
DOC
900968 cursos teleformacion sepe
PDF
T13.05 sociedad-economia
PDF
T13.01 edad moderna
PDF
Edad moderna
PPS
Barcelona turistica
DOC
Smcono5evaluacion final
PDF
Procedimientos generales enfermeria_huvr
Origen filosofia
Tema2
Preguntas de conocimiento del medio
Comentario ren barroc o
Folleto general de la rioja
900968 cursos teleformacion sepe
T13.05 sociedad-economia
T13.01 edad moderna
Edad moderna
Barcelona turistica
Smcono5evaluacion final
Procedimientos generales enfermeria_huvr

Último (20)

PDF
CURRICULAR DE PRIMARIA santa ursula..pdf
PPTX
TEMA 1ORGANIZACIÓN FUNCIONAL DEL CUERPO, MEDIO INTERNO Y HOMEOSTASIS (3) [Aut...
PPTX
RESUMENES JULIO - QUIRÓFANO HOSPITAL GENERAL PUYO.pptx
DOC
Manual de Convivencia 2025 actualizado a las normas vigentes
PPTX
MATEMATICAS GEOMETRICA USO TRANSPORTADOR
PDF
ACERTIJO EL CONJURO DEL CAZAFANTASMAS MATEMÁTICO. Por JAVIER SOLIS NOYOLA
PDF
Los hombres son de Marte - Las mujeres de Venus Ccesa007.pdf
PDF
Los10 Mandamientos de la Actitud Mental Positiva Ccesa007.pdf
PDF
Introduccion a la Investigacion Cualitativa FLICK Ccesa007.pdf
PPTX
Clase 3 del silabo-gestion y control financiero
PDF
TALLER DE ESTADISTICA BASICA para principiantes y no tan basicos
PDF
ciencia_tecnologia_sociedad Mitcham Carl. (1994)..pdf
PDF
Texto Digital Los Miserables - Victor Hugo Ccesa007.pdf
DOCX
TEXTO DE TRABAJO DE EDUCACION RELIGIOSA - CUARTO GRADO.docx
PDF
Aqui No Hay Reglas Hastings-Meyer Ccesa007.pdf
PDF
Aumente su Autoestima - Lair Ribeiro Ccesa007.pdf
PPTX
4. Qué es un computador PARA GRADO CUARTO.pptx
PDF
Introducción a la historia de la filosofía
PDF
Modelo Educativo SUB 2023versión final.pdf
DOCX
PLAN DE AREA DE CIENCIAS SOCIALES TODOS LOS GRUPOS
CURRICULAR DE PRIMARIA santa ursula..pdf
TEMA 1ORGANIZACIÓN FUNCIONAL DEL CUERPO, MEDIO INTERNO Y HOMEOSTASIS (3) [Aut...
RESUMENES JULIO - QUIRÓFANO HOSPITAL GENERAL PUYO.pptx
Manual de Convivencia 2025 actualizado a las normas vigentes
MATEMATICAS GEOMETRICA USO TRANSPORTADOR
ACERTIJO EL CONJURO DEL CAZAFANTASMAS MATEMÁTICO. Por JAVIER SOLIS NOYOLA
Los hombres son de Marte - Las mujeres de Venus Ccesa007.pdf
Los10 Mandamientos de la Actitud Mental Positiva Ccesa007.pdf
Introduccion a la Investigacion Cualitativa FLICK Ccesa007.pdf
Clase 3 del silabo-gestion y control financiero
TALLER DE ESTADISTICA BASICA para principiantes y no tan basicos
ciencia_tecnologia_sociedad Mitcham Carl. (1994)..pdf
Texto Digital Los Miserables - Victor Hugo Ccesa007.pdf
TEXTO DE TRABAJO DE EDUCACION RELIGIOSA - CUARTO GRADO.docx
Aqui No Hay Reglas Hastings-Meyer Ccesa007.pdf
Aumente su Autoestima - Lair Ribeiro Ccesa007.pdf
4. Qué es un computador PARA GRADO CUARTO.pptx
Introducción a la historia de la filosofía
Modelo Educativo SUB 2023versión final.pdf
PLAN DE AREA DE CIENCIAS SOCIALES TODOS LOS GRUPOS

Ic3 enunciado ejerc2 (2)

  • 1. INGENIER´IA DE COMPUTADORES III Ejercicio de autocomprobaci´on 2 INSTRUCCIONES: 1. Resuelva este ejercicio en las mismas condiciones en que realizar´a el examen: dos horas de tiempo y sin emplear ning´un material. 2. Revise sus contestaciones, empleando para ello el texto y el simulador que est´e usando para estudiar la asignatura. 3. Compare sus respuestas revisadas con la soluci´on. Pregunta 1 (3 puntos) Escriba en VHDL la architecture que describe el comportamiento de un divisor de frecuencias por 3, con se˜nal de reset as´ıncrona activa a nivel bajo. El c´odigo VHDL de la entity del divisor de frecuencias se muestra a continuaci´on. entity divisor_frecuencia_3 is port( clk3 : out std_logic; clk, resetn: in std_logic ); end entity divisor_frecuencia_3; La salida clk3 es una se˜nal peri´odica con la misma forma de onda que la se˜nal clk, pero con un tercio de su frecuencia. Es decir, un periodo de la se˜nal clk3 se corresponde con tres periodos de la se˜nal clk. Los cambios s´ıncronos en la se˜nal clk3 se producen en el flanco de la se˜nal clk. La entrada resetn pone as´ıncronamente la se˜nal clk3 a 0, manteni´endose dicho valor mientras el valor de resetn valga 0. Puede tomar las decisiones de dise˜no que estime convenientes, siempre y cuando las argumen- te y no est´en en contradicci´on con las especificaciones anteriores. Pregunta 2 (2 puntos) Escriba en VHDL la entity y la architecture que describe: 2.a) (0.25 puntos) El comportamiento de una puerta NOT. 2.b) (0.25 puntos) El comportamiento de una puerta XOR de 2 entradas. 2.c) (1.5 puntos) La estructura de un circuito combinacional detector de paridad de n´umeros de n bits, con n >= 2. La salida del circuito es 1 si la entrada tiene un n´umero par de unos. En cualquier otro caso, la salida del circuito es 0. La architecture debe describir la estructura del circuito combinacional, instanciando y conectando adecuadamente las puertas l´ogicas NOT y XOR necesarias. Emplee las sentencias generic, generate y las puertas l´ogicas cuyo dise˜no ha realizado al contestar los dos apartados anteriores.
  • 2. Pregunta 3 (3 puntos) Programe en VHDL el banco de pruebas del circuito combinacional que ha dise˜nado al contes- tar a la Pregunta 2c. Suponga que el n´umero de bits que tiene como entrada el circuito es 3 (es decir, n = 3). Explique detalladamente c´omo el programa de test comprueba exhaustivamente el valor de la UUT para todos los posibles valores de la entrada. El banco de pruebas debe comprobar que los valores obtenidos de la UUT coinciden con los esperados, mostrando el correspondiente mensaje en caso de que no coincidan. Al final del test, debe mostrarse un mensaje indicando el n´umero total de errores. Pregunta 4 (2 puntos) A continuaci´on, se muestra el dise˜no de cuatro circuitos. La entity de todos ellos es la siguien- te. entity ffd is port( q : out std_logic; d, clk, rst: in std_logic ); end entity ffd; La architecture de cada uno de los circuitos se muestra a continuaci´on (v´ease Soluci´on 1, 2, 3 y 4). ---- Solucion 1------------- architecture arch1 of ffd is begin process (clk, rst) begin if (rst = ‘1’) then q <= ‘0’; elsif (rising_edge(clk)) then q <= d; end if; end process; end arch1; ---- Solucion 2------------- architecture arch2 of ffd is begin process (clk) begin if (rst = ‘1’) then q <= ‘0’; elsif (rising_edge(clk)) then q <= d; end if; end process; end arch2;
  • 3. ---- Solucion 3------------- architecture arch3 of ffd is begin process (clk) begin if (rst = ‘1’) then q <= ‘0’; elsif (clk = ‘1’) then q <= d; end if; end process; end arch3; ---- Solucion 4------------- architecture arch4 of ffd is begin process (clk, rst, d) begin if (rst = ‘1’) then q <= ‘0’; elsif (clk = ‘1’) then q <= d; end if; end process; end arch4; Para cada circuito, explique detalladamente si tiene la funcionalidad de un flip-flop D con se˜nal de reset as´ıncrona.