SlideShare a Scribd company logo
ASIC
FLOW
(APPLICATIONS SPECIFIC
INTEGRATED CIRCUIT)
Steps
Specifications
Architecture
Rtl coding
Rtl simulation
Synthesis
Pnr(placement&routing)
Signoff {STA,PV,FV,EMIR,VCLP}
Specifications
The vendor or client provide the specifications like
High performance
Area
Low power consumption
Low cost
Architecture
According to specifications we built blue print of chip
All details on proccessors,memories&how they are connected
How much block area is used &how much cost required and how much power
consumed
Rtl coding
Base on architecture we will write rtl code
We build digital design of seqt ckts&comb ckts by using Hdl,vhdl,Verilog,system
Verilog
Rtl simulation
Functional verification is done in this process
Aftr rtl design we apply test cases in verification stage
Test cases are called behavioural simulations such as NC SIM,TOGGLE COVERAGE
Synthesis
The conversion of rtl code into gatelevel netlist
It is two types 1)logical aware 2)physical aware
Logical aware :netlist,lib,sdc,upf
Physical aware: all inputs but extra i/p is defp
Pnr (placement&routing)
According to frontend (rtl) design team,which we consider as inputs to pnr,in
manufacturing a realistic IC.
Signoff
STA
FV
PV
EMIR
VCLP
SYNTHESIS
FLOW
INPUTS
Netlist file
Lib file
Sdc file
Upf file
Def file
Lef file
Tlu+ file
Spef file
Tf file
Saif file
Mmmc file(.view)
NETLIST(.V)
In netlist we have connectivity information of macros, cells,io ports
A list of electronic components in circuit
Lib (library file)
Lib file contains library name,tech node, functionality
Operating conditions-fast fast,slow slow, typical typical
PVT condition-process voltage temperature.
VTh-threshold voltage- hvt, lvt,svt,ulvt
Pin info- pin name,pin direction,pg pin names,
Timing –setup rise / fall
hold rise/ fall
Units- resistance,capacitance,area,power,voltage
Sdc (synopsys design constraints)
Sdc contains clock info,timing info
Timimg constraints #Timing interface #Timing exceptions #Design rule
constraints
Create_clk set_load set_false_path set_max_cap
create_generated_clk set_driving_cell set_multicycle_path set_max_trans
set_clk_latency set_input_delay set_halfcycle_path set_max_fanout
set_clk_uncertainity set_output_delay
Set_clk_transition
Upf(unified power format)

if the block is working on multivoltage,multipower domain then we require upf file

Upf consists of power info of complete design

There are 2 types of power format

Primary power ( core rings ,rails, stripes)

Secondary power ( isolation cells,retention cells, level shifters)

Syntax – create_power_domain_PD_ORCA_TOP
create_power_domain_PD_RISC_CORE
create_supply_net_vss_domain
create_supply_net_vdd_domain
create_supply_port_vss_domain
Def (design exchange format)
Def file is represented of physical layout of IC in ASIC format
Def file is strongly connected to lef file,both can display the physical design
It is generated by pnr tool stage by stage
It contains logical design data& physical design data
logical-connectivity info
Physical-placement location of components
Sanity checks
1. Netlist
2. Lib
3. Sdc
Netlist
1. Vdd should not tied to ground
2. Floating of I /p & o/p
3. Vdd&Vss shorts
4. No multi driven nets
5. Unloaded outputs
6. Combinational feedback
Lib
In lib we will check inconsistency b/w logical lib & physical lib
Sdc
1. Unconstrained endpoints
2. I/p & o/p delay
3. Port io missing delay
4. Multi clk driven registers
5. No clk reaching flipflop
6. Linking related issues
Analyze
In analyze stage it will read all required inputs,check the syntax errors for loaded
inputs
Here the tool read Verilog & check for any syntax errors like missing
modules,unreserve references , black boxes
#Analyze_format_Verilog
Elaborate
At this stage converting technology dependent to getch independent
During elaboration the tool ,if the design is hierarchy
If hierarchy is unique the run will proceed ,otherwise run stops
Elaborate_template.
Specify constraints
AREA #POWER # TIMING
Auto ungroup dynamic-clock gating multibit
Boundary optimization static-power gating sizing
Area recovery swapping
Area optimization ungrouping
path grouping
Optimize
We will optimize the process for better performance
Compile
It is used to check sematic errors from top of flow to bottom of flow
Insert Dft(design for testing)
 At this stage we will add logic to the design,to check functionality the block
Compile Ultra incrementals
At this stage before it will check errors stage by stage
In ultra incrementals stage its resume process from copile ultra incremental stage
Write outputs
Synthesized def
.upf
Netlist(.v)
Generate reports
Area
Power
Timing
Global timing
QOR
ALL FLOWS.pptx it's about Asic design flow
Floor plan
Objective : floorplan is nothing but partition of core area& die area
In which there are 5 parameters
1. Aspect ratio : H/W
2. Utilization : macro area+std cell area+blockages/total core area#how much area
we utlized
3. Die area :we are placing i/p ports o/p ports i/o ports by using IO file
4. Row site :macros are placing in between rows
5. Blockages : partial,hard,soft,
Inputs :
All inputs ,IO file
Outputs :
Floordef
pre - checks
We should see no over laps of macros&ports
Check proper offset of ports
Powerplan
 objective : Power plan is nothing but distributing of power for each&every cell of
macros,std cells
Steps : io pads , trunks, core rings ,stripes , rails,
Inputs : all inputs +floorplan def
Outputs : powerplan def
Post checks : all cells should get power
 :fix any pg shorts&opens in design
 :check DRC’S
Pre –placement
Tie cells –tie high , tie low
Endcap cells- cells are placed at end of rows
Decap cells- temporary capacitors between ground due to dynamic IR drop
Spare cells- to modify& improve functionality of design , spare cells are extra cells
Tap cells- they are used avoid latch-up problem in CMOS.
filler cells- the Filler cells are non-functional cells used to continue the VDD and VSS rails.
They are used to establish the continuity of the N-well and the implant layers on the
standard cell rows. Filler cells have no logical functionality . They are used to fill empty
space in the standard-cell rows to ensure that all power nets are connected.
Placement
pre-checks
Legality
Congestion
Check_mv design
Opens&shorts
Check terminals
objective : *placement is nothing but placing std cells in design
*it also determine routability in design
Steps
Coarse placement
Detailed placement
Legalization
HFNS(high fanout net synthesis)
Scan chain reorder
optimization
INPUTS :
Powerplan def
All inputs
Scan def
OUTPUTS :
Placment def
Post -checks
Congestion
Utilization
Legalization –no overlaps #check_legality
Timing QOR
Check_pg_connections
Drc
Cts ( clock tree synthesis)
Pre – checks
Legality checks
Pg connections
Congestion
Timing qor - #report_timing .#report_global_timing
Timing drv,s – max_tran,max_cap,max_fanout
OBJECTIVE : distribution clks for each&every seq cells
Inputs and Outputs
INPUTS :
Placement def file
Cts spec file
OUTPUTS :
Cts def
Steps
Clock tree initialization
Synthesied cts
Ccd optimization
Gate by gate cts
Clock cell relocation
Drv fixing
Post –checks
Skew balancing
Mininimze insertion delay
Drv
Timing(setup&hold)
Less congestion
Utilization in limit
Routing
Routing is a process of making physical connection between or among signal pins
by following DRC rules
Types of routing
Global routing
Track assignment
Detail routing
Search & repair
Goals
Minimize the total interconnect or wire length
Complete the routing with in the area of design
No DRC violations
Meeting the timing
No LVS errors
Pre checks
ALL FLOWS.pptx it's about Asic design flow
ALL FLOWS.pptx it's about Asic design flow
ALL FLOWS.pptx it's about Asic design flow
ALL FLOWS.pptx it's about Asic design flow
ALL FLOWS.pptx it's about Asic design flow
Post checks
Timing must be clean
Drv
Drc’
No congestion
No legality
No lvs
ALL FLOWS.pptx it's about Asic design flow
ALL FLOWS.pptx it's about Asic design flow
ALL FLOWS.pptx it's about Asic design flow
ALL FLOWS.pptx it's about Asic design flow
ALL FLOWS.pptx it's about Asic design flow
ALL FLOWS.pptx it's about Asic design flow
ALL FLOWS.pptx it's about Asic design flow
ALL FLOWS.pptx it's about Asic design flow
ALL FLOWS.pptx it's about Asic design flow
ALL FLOWS.pptx it's about Asic design flow
ALL FLOWS.pptx it's about Asic design flow
ALL FLOWS.pptx it's about Asic design flow

More Related Content

PPTX
ASIC Design Flow | Physical Design | VLSI
PDF
Physical design
PDF
Physical design-complete
PDF
VLSI Physical Design Physical Design Concepts
PPTX
Logic synthesis,flootplan&placement
PDF
Verification Strategy for PCI-Express
PPTX
Dpdk applications
ASIC Design Flow | Physical Design | VLSI
Physical design
Physical design-complete
VLSI Physical Design Physical Design Concepts
Logic synthesis,flootplan&placement
Verification Strategy for PCI-Express
Dpdk applications

Similar to ALL FLOWS.pptx it's about Asic design flow (20)

PPT
PPTX
EEL71090_Lecture12_13_BKumar_IIITJodh.pptx
PDF
Samsung_EnodeLSMR__Integration_Module__V1.pdf (1).pdf
PDF
Implementation of CAN on FPGA for Security Evaluation Purpose
PPTX
PPT
chameleon chip
PPTX
PPT
ASCIC.ppt
PPTX
Verilog
PPTX
PPTX
PDF
ASIC Synthesis Optimizations And Settings Part 3
DOC
SudheerV_resume_a
DOCX
Fpg as 11 body
PDF
Tieng Nguyen resume
PDF
International Journal of Engineering Research and Development (IJERD)
DOCX
CV-RENJINIK-27062016
PPTX
Mirabilis_Design AMD Versal System-Level IP Library
PPTX
How to achieve 95%+ Accurate power measurement during architecture exploration?
PDF
Design & Simulation of RISC Processor using Hyper Pipelining Technique
EEL71090_Lecture12_13_BKumar_IIITJodh.pptx
Samsung_EnodeLSMR__Integration_Module__V1.pdf (1).pdf
Implementation of CAN on FPGA for Security Evaluation Purpose
chameleon chip
ASCIC.ppt
Verilog
ASIC Synthesis Optimizations And Settings Part 3
SudheerV_resume_a
Fpg as 11 body
Tieng Nguyen resume
International Journal of Engineering Research and Development (IJERD)
CV-RENJINIK-27062016
Mirabilis_Design AMD Versal System-Level IP Library
How to achieve 95%+ Accurate power measurement during architecture exploration?
Design & Simulation of RISC Processor using Hyper Pipelining Technique
Ad

Recently uploaded (20)

PPTX
LITERATURE CASE STUDY DESIGN SEMESTER 5.pptx
DOCX
The story of the first moon landing.docx
PPTX
Entrepreneur intro, origin, process, method
PPTX
Tenders & Contracts Works _ Services Afzal.pptx
PDF
Quality Control Management for RMG, Level- 4, Certificate
PDF
Skskkxiixijsjsnwkwkaksixindndndjdjdjsjjssk
PPTX
HPE Aruba-master-icon-library_052722.pptx
PDF
Urban Design Final Project-Context
PPTX
Wisp Textiles: Where Comfort Meets Everyday Style
PDF
Facade & Landscape Lighting Techniques and Trends.pptx.pdf
PPTX
rapid fire quiz in your house is your india.pptx
PPTX
CLASS_11_BUSINESS_STUDIES_PPT_CHAPTER_1_Business_Trade_Commerce.pptx
PPTX
AD Bungalow Case studies Sem 2.pptxvwewev
PDF
The Advantages of Working With a Design-Build Studio
PPTX
ANATOMY OF ANTERIOR CHAMBER ANGLE AND GONIOSCOPY.pptx
PPTX
Media And Information Literacy for Grade 12
PDF
SEVA- Fashion designing-Presentation.pdf
PDF
Urban Design Final Project-Site Analysis
PDF
Integrated-2D-and-3D-Animation-Bridging-Dimensions-for-Impactful-Storytelling...
PPT
UNIT I- Yarn, types, explanation, process
LITERATURE CASE STUDY DESIGN SEMESTER 5.pptx
The story of the first moon landing.docx
Entrepreneur intro, origin, process, method
Tenders & Contracts Works _ Services Afzal.pptx
Quality Control Management for RMG, Level- 4, Certificate
Skskkxiixijsjsnwkwkaksixindndndjdjdjsjjssk
HPE Aruba-master-icon-library_052722.pptx
Urban Design Final Project-Context
Wisp Textiles: Where Comfort Meets Everyday Style
Facade & Landscape Lighting Techniques and Trends.pptx.pdf
rapid fire quiz in your house is your india.pptx
CLASS_11_BUSINESS_STUDIES_PPT_CHAPTER_1_Business_Trade_Commerce.pptx
AD Bungalow Case studies Sem 2.pptxvwewev
The Advantages of Working With a Design-Build Studio
ANATOMY OF ANTERIOR CHAMBER ANGLE AND GONIOSCOPY.pptx
Media And Information Literacy for Grade 12
SEVA- Fashion designing-Presentation.pdf
Urban Design Final Project-Site Analysis
Integrated-2D-and-3D-Animation-Bridging-Dimensions-for-Impactful-Storytelling...
UNIT I- Yarn, types, explanation, process
Ad

ALL FLOWS.pptx it's about Asic design flow