SlideShare a Scribd company logo
FPGA
Alanda Programlanabilir Kapı Dizisi
Dr. Serkan DERELİ
dereli@subu.edu.tr
VHDLTasarım Bileşenleri
VHDL
Tasarım
Kütüphana
(Library)
Varlık (Entity)
Mimari
(Architecture)
• Kütüphane (Library)
• Varlık (Entity)
• Mimari (Architecture)
2
Dr. Serkan DERELİ (dereli@subu.edu.tr)
Kütüphaneler (Libraries)
• use IEEE.std_logic_1164.all;
std_logic, std_logic_vector türleri ile ilgili fonksiyonları
içerir.
• use IEEE.numeric_std.all;
std_logic_arith kütüphanesinin alternatifidir.
• use IEEE.std_logic_signed.all;
işaretli aritmetik fonksiyonları içerir.
• use IEEE.std_logic_arith.all;
signed, unsigned, integer ve std_logic_vector türleri
için aritmetik, dönüştürme ve karşılaştırma
fonksiyonlarını içerir.
VHDL tasarımda kullanılacak
kodların işlevlerini içeren yapılardır.
3
Dr. Serkan DERELİ (dereli@subu.edu.tr)
Varlık (Entity)
entity Uyg41 is
Port (
clk : in std_logic;
rst : in std_logic;
sayi_16b : in std_logic_vector(15 downto 0);
say1_8b : out std_logic_vector(7 downto 0);
say2_8b : out std_logic_vector(7 downto 0)
);
end Uyg41;
Tasarımın giriş değerleri ile çıkış
değerlerinin tanımlandığı
bölümdür.
4
Dr. Serkan DERELİ (dereli@subu.edu.tr)
Mimari (Architecture)
1. architecture Behavioral of Uyg41 is
2. – sinyal ve değişken tanımlamaları
3. begin
4. process1(clk,rst);
5. process2(say);
6. process3(esit);
7. …
8. end Behavioral;
• Yapılan bir tasarımın ne iş
yaptığı tam olarak bu bölümde
tanımlanmaktadır.
• Tasarlanan sistemin sıralı veya
paralel bir şekilde çalışacağı da
bu bölümde ortaya çıkmaktadır.
5
Dr. Serkan DERELİ (dereli@subu.edu.tr)
ÖRNEK 4.1.
Girişine gelen 16-bitlik sayıyı 8-bit ve 8-bit olmak
üzere ikiye bölerek çıkışa aktaran VHDL tasarımı
gerçekleştiriniz.
6
Dr. Serkan DERELİ (dereli@subu.edu.tr)
Örnek 4.1.VHDL Kod
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-–varlık tanımlaması
entity Uyg41 is
Port (
clk : in std_logic;
rst : in std_logic;
sayi_16b : in std_logic_vector(15 downto 0);
say1_8b : out std_logic_vector(7 downto 0);
say2_8b : out std_logic_vector(7 downto 0)
);
end Uyg41;
–mimari tanımlaması
architecture Behavioral of Uyg41 is
begin
process(clk, rst)
begin
if (rst = '1') then
say1_8b <= (others => '0');
say2_8b <= (others => '0');
elsif rising_edge(clk) then
say1_8b <= sayi_16b(7 downto 0);
say2_8b <= sayi_16b(15 downto 8);
end if;
end process;
end Behavioral;
7
Dr. Serkan DERELİ (dereli@subu.edu.tr)
Örnek 4.1. Simülasyon
8
Dr. Serkan DERELİ (dereli@subu.edu.tr)
VHDL Nesneleri veVeriTipleri
9
Dr. Serkan DERELİ (dereli@subu.edu.tr)
VHDL Nesneleri
10
Dr. Serkan DERELİ (dereli@subu.edu.tr)
• Sinyaller (Signal)
• Değişkenler (Variable)
• Sabitler (Constaint)
1) Sinyaller
- Sayısal bir tasarımda bloklar
arasındaki bağlantıları sağlayan
nesnelerdir.
- Sinyaller; paket, mimari (architecture)
ve varlık (entity) içerisinde
tanımlanabilir.
- “process”, “procedure” ve “function”
içerisinde tanımlanamazlar.
- Sadece tanımlandığı blok içerisinde
aktiftirler.
11
Dr. Serkan DERELİ (dereli@subu.edu.tr)
Sinyaller
ÖRNEK 4.2.
Sisteme gelen 8-bitlik bir sayının pozitif mi yoksa
negatif mi olduğunu çıkışa aktaran tasarımı
yapınız.
12
Dr. Serkan DERELİ (dereli@subu.edu.tr)
Sinyaller
13
Dr. Serkan DERELİ (dereli@subu.edu.tr)
Örnek 4.2.VHDL Kod (Sinyal)
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity isaret_biti is
Port (
clk: in std_logic;
rst: in std_logic;
sayi: in std_logic_vector(7 downto 0);
isaret: out std_logic
);
end isaret_biti;
–mimari tanımlaması
architecture Behavioral of isaret_biti is
signal msb_bit: std_logic;
begin
process(clk,rst begin
if rst='1' then
isaret <= 'U';
elsif rising_edge(clk) then
msb_bit <= sayi(7);
if msb_bit='1' then
isaret <= '1';
else
isaret <= '0';
end if;
end if;
end process;
end Behavioral;
14
Dr. Serkan DERELİ (dereli@subu.edu.tr)
Örnek 4.2. Simülasyon (Sinyal)
15
Dr. Serkan DERELİ (dereli@subu.edu.tr)
1) Değişkenler (Variable)
- Sadece process içerisinde
erişilebilir.
- Değeri hızlıca değişebilen ve en
son değeri muhafaza edebilen
yapılardır.
- Dolayısıyla signal nesnesi ile
arasındaki en önemli fark içeriğinin
hemen güncellenebilmesidir.
16
Dr. Serkan DERELİ (dereli@subu.edu.tr)
Değişkenler (Variable)
ÖRNEK 4.3.
Sisteme gelen 8-bitlik bir sayının pozitif mi yoksa
negatif mi olduğunu çıkışa aktaran tasarımı
yapınız.
17
Dr. Serkan DERELİ (dereli@subu.edu.tr)
Değişkenler (Variable)
18
Dr. Serkan DERELİ (dereli@subu.edu.tr)
Örnek 4.3.VHDL Kod (Sinyal)
varlıktanımlaması
ibrary IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity isaret_biti is
Port (
clk: in std_logic;
rst: in std_logic;
sayi: in std_logic_vector(7 downto 0);
isaret: out std_logic
);
end isaret_biti;
–mimari tanımlaması
architecture Behavioral of isaret_biti is
begin
process(clk,rst)
variable msb_bit: std_logic;
begin
if rst='1' then
isaret <= 'U';
elsif rising_edge(clk) then
msb_bit := sayi(7);
if msb_bit='1' then
isaret <= '1';
else
isaret <= '0';
end if;
end if;
end process;
end Behavioral;
19
Dr. Serkan DERELİ (dereli@subu.edu.tr)
Örnek 4.3. Simülasyon (Variable)
20
Dr. Serkan DERELİ (dereli@subu.edu.tr)
Sinyal ve Değişken Farkı
- Değişken hemen değişir sinyal ise
process in sonunda değişir.
- Sinyal, en son atanan değeri alır.
Örneğin yanda önce A sonra C atanmış
olduğundan C değeri ile işlem yapmıştır.
21
Dr. Serkan DERELİ (dereli@subu.edu.tr)
Sabitler (Constant)
- Signal ve variable nesnelerinin
aksine değeri sonradan
değiştirilemez.
- Kodun okunabilirliğini
artırdığından dolayı son derece
kullanışlı bir nesnedir.
22
Dr. Serkan DERELİ (dereli@subu.edu.tr)
Sabitler (Constant)
ÖRNEK 4.4.
Girişteki anahtar bitinin «1» olması ile beraber
0 – 13 arası sayma işlemi yapan sayıcı tasarımını
yapınız.
23
Dr. Serkan DERELİ (dereli@subu.edu.tr)
Sabitler (Constant)
24
Dr. Serkan DERELİ (dereli@subu.edu.tr)
Örnek 4.4.VHDL Kod
(Sabitler)
architecture Behavioral of sayici is
constant ust: std_logic_vector(3 downto 0):="1101";
begin
process (clk,rst)
variable say : std_logic_vector(3 downto 0) := (others=>'0');
variable an : std_logic := '0'; -------------------------------
begin
if (rst='1') then
say := (others=>'0');
elsif rising_edge(clk) then
an := anahtar; ------------------------------------------
if an='1' then
say := say + 1;
if say=ust then
say := "0000";
end if;
else
null;
end if;
cik <= say;
end if;
end process;
end Behavioral;
Varlıktanımlaması
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_SIGNED.ALL;
entity sayici is
Port (
clk: in std_logic;
rst: in std_logic;
anahtar: in std_logic;
cik: out std_logic_vector(3 downto 0)
);
end sayici;
25
Dr. Serkan DERELİ (dereli@subu.edu.tr)
Örnek 4.4. Simülasyon (Sabitler)
26
Dr. Serkan DERELİ (dereli@subu.edu.tr)
Örnek 4.4.VHDL Kod
(Sinyal Kullanımı)
architecture Behavioral of sayici is
constant ust: std_logic_vector(3 downto 0):="1101";
signal an: std_logic := '0'; --------------------------------------
begin
process(clk,rst)
variable say : std_logic_vector(3 downto 0) := (others=>'0');
--variable an : std_logic := '0';
begin
if (rst='1') then
say := (others=>'0');
elsif rising_edge(clk) then
an <= anahtar; -------------------------------------
if an='1' then
say := say + 1;
if say="1101" then
say := "0000";
end if;
else
null;
end if;
cik <= say;
end if;
end process;
end Behavioral;
Varlıktanımlaması
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_SIGNED.ALL;
entity sayici is
Port (
clk: in std_logic;
rst: in std_logic;
anahtar: in std_logic;
cik: out std_logic_vector(3 downto 0)
);
end sayici;
27
Dr. Serkan DERELİ (dereli@subu.edu.tr)
Örnek 4.4. Simülasyon (Sinyal)
28
Bölüm Sonu
29

More Related Content

PPTX
FPGA ve VHDL Ders - 3
PPTX
FPGA ve VHDL Ders - 2
PPTX
FPGA ve VHDL Ders - 1
PPTX
1.FPGA for dummies: Basic FPGA architecture
PPTX
PPT
ASIC VS FPGA.ppt
PDF
Fpga & VHDL
PPT
Fpga &;cpld(by alok singh)
FPGA ve VHDL Ders - 3
FPGA ve VHDL Ders - 2
FPGA ve VHDL Ders - 1
1.FPGA for dummies: Basic FPGA architecture
ASIC VS FPGA.ppt
Fpga & VHDL
Fpga &;cpld(by alok singh)

What's hot (20)

PDF
VLSI Design Final Project - 32 bit ALU
PPT
PPTX
vlsi design summer training ppt
PDF
FPGA Hardware Accelerator for Machine Learning
PPTX
Composants reconfigurables
PPTX
Fpga architectures and applications
PPTX
floating point multiplier
PPT
Fpga design flow
PDF
A review on reversible logic gates and their implementation
DOCX
UNIT-II CPLD & FPGA Architectures and Applications
PPTX
AMBA BUS.pptx
PPTX
Field programable gate array
PPTX
SoC FPGA Technology
PPTX
Introduction to EDA Tools
PDF
Cpld fpga
PPTX
FPGA Introduction
PDF
Session 2,3 FPGAs
DOCX
Semi Custom Integrated Circuit Design
PPTX
Event and signal driven programming
VLSI Design Final Project - 32 bit ALU
vlsi design summer training ppt
FPGA Hardware Accelerator for Machine Learning
Composants reconfigurables
Fpga architectures and applications
floating point multiplier
Fpga design flow
A review on reversible logic gates and their implementation
UNIT-II CPLD & FPGA Architectures and Applications
AMBA BUS.pptx
Field programable gate array
SoC FPGA Technology
Introduction to EDA Tools
Cpld fpga
FPGA Introduction
Session 2,3 FPGAs
Semi Custom Integrated Circuit Design
Event and signal driven programming
Ad

Similar to FPGA ve VHDL Ders - 4 (20)

PDF
FPGA Tabanlı Sinyal ve Görüntü İşleme
PDF
3 girişli ve değil(nand) kapısı sağlamlık - 3 input nand gate stability control
PPT
Blm1 bilg.mimari
PDF
FPGA MİMARİSİ ve TARİHİ GELİŞİMİ
PDF
Multiplexer 0-15 arasındaki ikilik sayıları 7 parçalı göstergede (0-f) şeklin...
PPTX
Windows 32 bit-asm
PDF
Serkan-KOCA-CV
PDF
Zybo ile Ultrasonik Sensör Uygulaması (Distance Measurement by Ultrasonic Sen...
DOC
3 girişli veya kapısı test devresi - 3 input or gate stability control circuit
PDF
H8 blm221 multiplexer-decoder-bellekler
PDF
Sayıcılar
PDF
Ders8_Flip_flop2019son.pdf
PDF
Sayısal Devreler 05, Feza BUZLUCA
PPTX
İşlemciler
PDF
H8 blm221 (1)
PDF
2 girişli ve değil kapısı sağlamlık testi - 2 input NAND gates testing
PPTX
PIC Programlama, Assembly ve Komutlar
PDF
Bcd 7 Segment Kod Cozucu proteus
PDF
Kaydediciler
PDF
Tümleşik devreler
FPGA Tabanlı Sinyal ve Görüntü İşleme
3 girişli ve değil(nand) kapısı sağlamlık - 3 input nand gate stability control
Blm1 bilg.mimari
FPGA MİMARİSİ ve TARİHİ GELİŞİMİ
Multiplexer 0-15 arasındaki ikilik sayıları 7 parçalı göstergede (0-f) şeklin...
Windows 32 bit-asm
Serkan-KOCA-CV
Zybo ile Ultrasonik Sensör Uygulaması (Distance Measurement by Ultrasonic Sen...
3 girişli veya kapısı test devresi - 3 input or gate stability control circuit
H8 blm221 multiplexer-decoder-bellekler
Sayıcılar
Ders8_Flip_flop2019son.pdf
Sayısal Devreler 05, Feza BUZLUCA
İşlemciler
H8 blm221 (1)
2 girişli ve değil kapısı sağlamlık testi - 2 input NAND gates testing
PIC Programlama, Assembly ve Komutlar
Bcd 7 Segment Kod Cozucu proteus
Kaydediciler
Tümleşik devreler
Ad

FPGA ve VHDL Ders - 4

  • 1. FPGA Alanda Programlanabilir Kapı Dizisi Dr. Serkan DERELİ dereli@subu.edu.tr
  • 2. VHDLTasarım Bileşenleri VHDL Tasarım Kütüphana (Library) Varlık (Entity) Mimari (Architecture) • Kütüphane (Library) • Varlık (Entity) • Mimari (Architecture) 2 Dr. Serkan DERELİ (dereli@subu.edu.tr)
  • 3. Kütüphaneler (Libraries) • use IEEE.std_logic_1164.all; std_logic, std_logic_vector türleri ile ilgili fonksiyonları içerir. • use IEEE.numeric_std.all; std_logic_arith kütüphanesinin alternatifidir. • use IEEE.std_logic_signed.all; işaretli aritmetik fonksiyonları içerir. • use IEEE.std_logic_arith.all; signed, unsigned, integer ve std_logic_vector türleri için aritmetik, dönüştürme ve karşılaştırma fonksiyonlarını içerir. VHDL tasarımda kullanılacak kodların işlevlerini içeren yapılardır. 3 Dr. Serkan DERELİ (dereli@subu.edu.tr)
  • 4. Varlık (Entity) entity Uyg41 is Port ( clk : in std_logic; rst : in std_logic; sayi_16b : in std_logic_vector(15 downto 0); say1_8b : out std_logic_vector(7 downto 0); say2_8b : out std_logic_vector(7 downto 0) ); end Uyg41; Tasarımın giriş değerleri ile çıkış değerlerinin tanımlandığı bölümdür. 4 Dr. Serkan DERELİ (dereli@subu.edu.tr)
  • 5. Mimari (Architecture) 1. architecture Behavioral of Uyg41 is 2. – sinyal ve değişken tanımlamaları 3. begin 4. process1(clk,rst); 5. process2(say); 6. process3(esit); 7. … 8. end Behavioral; • Yapılan bir tasarımın ne iş yaptığı tam olarak bu bölümde tanımlanmaktadır. • Tasarlanan sistemin sıralı veya paralel bir şekilde çalışacağı da bu bölümde ortaya çıkmaktadır. 5 Dr. Serkan DERELİ (dereli@subu.edu.tr)
  • 6. ÖRNEK 4.1. Girişine gelen 16-bitlik sayıyı 8-bit ve 8-bit olmak üzere ikiye bölerek çıkışa aktaran VHDL tasarımı gerçekleştiriniz. 6 Dr. Serkan DERELİ (dereli@subu.edu.tr)
  • 7. Örnek 4.1.VHDL Kod library IEEE; use IEEE.STD_LOGIC_1164.ALL; -–varlık tanımlaması entity Uyg41 is Port ( clk : in std_logic; rst : in std_logic; sayi_16b : in std_logic_vector(15 downto 0); say1_8b : out std_logic_vector(7 downto 0); say2_8b : out std_logic_vector(7 downto 0) ); end Uyg41; –mimari tanımlaması architecture Behavioral of Uyg41 is begin process(clk, rst) begin if (rst = '1') then say1_8b <= (others => '0'); say2_8b <= (others => '0'); elsif rising_edge(clk) then say1_8b <= sayi_16b(7 downto 0); say2_8b <= sayi_16b(15 downto 8); end if; end process; end Behavioral; 7 Dr. Serkan DERELİ (dereli@subu.edu.tr)
  • 8. Örnek 4.1. Simülasyon 8 Dr. Serkan DERELİ (dereli@subu.edu.tr)
  • 9. VHDL Nesneleri veVeriTipleri 9 Dr. Serkan DERELİ (dereli@subu.edu.tr)
  • 10. VHDL Nesneleri 10 Dr. Serkan DERELİ (dereli@subu.edu.tr) • Sinyaller (Signal) • Değişkenler (Variable) • Sabitler (Constaint)
  • 11. 1) Sinyaller - Sayısal bir tasarımda bloklar arasındaki bağlantıları sağlayan nesnelerdir. - Sinyaller; paket, mimari (architecture) ve varlık (entity) içerisinde tanımlanabilir. - “process”, “procedure” ve “function” içerisinde tanımlanamazlar. - Sadece tanımlandığı blok içerisinde aktiftirler. 11 Dr. Serkan DERELİ (dereli@subu.edu.tr)
  • 12. Sinyaller ÖRNEK 4.2. Sisteme gelen 8-bitlik bir sayının pozitif mi yoksa negatif mi olduğunu çıkışa aktaran tasarımı yapınız. 12 Dr. Serkan DERELİ (dereli@subu.edu.tr)
  • 13. Sinyaller 13 Dr. Serkan DERELİ (dereli@subu.edu.tr)
  • 14. Örnek 4.2.VHDL Kod (Sinyal) library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity isaret_biti is Port ( clk: in std_logic; rst: in std_logic; sayi: in std_logic_vector(7 downto 0); isaret: out std_logic ); end isaret_biti; –mimari tanımlaması architecture Behavioral of isaret_biti is signal msb_bit: std_logic; begin process(clk,rst begin if rst='1' then isaret <= 'U'; elsif rising_edge(clk) then msb_bit <= sayi(7); if msb_bit='1' then isaret <= '1'; else isaret <= '0'; end if; end if; end process; end Behavioral; 14 Dr. Serkan DERELİ (dereli@subu.edu.tr)
  • 15. Örnek 4.2. Simülasyon (Sinyal) 15 Dr. Serkan DERELİ (dereli@subu.edu.tr)
  • 16. 1) Değişkenler (Variable) - Sadece process içerisinde erişilebilir. - Değeri hızlıca değişebilen ve en son değeri muhafaza edebilen yapılardır. - Dolayısıyla signal nesnesi ile arasındaki en önemli fark içeriğinin hemen güncellenebilmesidir. 16 Dr. Serkan DERELİ (dereli@subu.edu.tr)
  • 17. Değişkenler (Variable) ÖRNEK 4.3. Sisteme gelen 8-bitlik bir sayının pozitif mi yoksa negatif mi olduğunu çıkışa aktaran tasarımı yapınız. 17 Dr. Serkan DERELİ (dereli@subu.edu.tr)
  • 18. Değişkenler (Variable) 18 Dr. Serkan DERELİ (dereli@subu.edu.tr)
  • 19. Örnek 4.3.VHDL Kod (Sinyal) varlıktanımlaması ibrary IEEE; use IEEE.STD_LOGIC_1164.ALL; entity isaret_biti is Port ( clk: in std_logic; rst: in std_logic; sayi: in std_logic_vector(7 downto 0); isaret: out std_logic ); end isaret_biti; –mimari tanımlaması architecture Behavioral of isaret_biti is begin process(clk,rst) variable msb_bit: std_logic; begin if rst='1' then isaret <= 'U'; elsif rising_edge(clk) then msb_bit := sayi(7); if msb_bit='1' then isaret <= '1'; else isaret <= '0'; end if; end if; end process; end Behavioral; 19 Dr. Serkan DERELİ (dereli@subu.edu.tr)
  • 20. Örnek 4.3. Simülasyon (Variable) 20 Dr. Serkan DERELİ (dereli@subu.edu.tr)
  • 21. Sinyal ve Değişken Farkı - Değişken hemen değişir sinyal ise process in sonunda değişir. - Sinyal, en son atanan değeri alır. Örneğin yanda önce A sonra C atanmış olduğundan C değeri ile işlem yapmıştır. 21 Dr. Serkan DERELİ (dereli@subu.edu.tr)
  • 22. Sabitler (Constant) - Signal ve variable nesnelerinin aksine değeri sonradan değiştirilemez. - Kodun okunabilirliğini artırdığından dolayı son derece kullanışlı bir nesnedir. 22 Dr. Serkan DERELİ (dereli@subu.edu.tr)
  • 23. Sabitler (Constant) ÖRNEK 4.4. Girişteki anahtar bitinin «1» olması ile beraber 0 – 13 arası sayma işlemi yapan sayıcı tasarımını yapınız. 23 Dr. Serkan DERELİ (dereli@subu.edu.tr)
  • 24. Sabitler (Constant) 24 Dr. Serkan DERELİ (dereli@subu.edu.tr)
  • 25. Örnek 4.4.VHDL Kod (Sabitler) architecture Behavioral of sayici is constant ust: std_logic_vector(3 downto 0):="1101"; begin process (clk,rst) variable say : std_logic_vector(3 downto 0) := (others=>'0'); variable an : std_logic := '0'; ------------------------------- begin if (rst='1') then say := (others=>'0'); elsif rising_edge(clk) then an := anahtar; ------------------------------------------ if an='1' then say := say + 1; if say=ust then say := "0000"; end if; else null; end if; cik <= say; end if; end process; end Behavioral; Varlıktanımlaması library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_SIGNED.ALL; entity sayici is Port ( clk: in std_logic; rst: in std_logic; anahtar: in std_logic; cik: out std_logic_vector(3 downto 0) ); end sayici; 25 Dr. Serkan DERELİ (dereli@subu.edu.tr)
  • 26. Örnek 4.4. Simülasyon (Sabitler) 26 Dr. Serkan DERELİ (dereli@subu.edu.tr)
  • 27. Örnek 4.4.VHDL Kod (Sinyal Kullanımı) architecture Behavioral of sayici is constant ust: std_logic_vector(3 downto 0):="1101"; signal an: std_logic := '0'; -------------------------------------- begin process(clk,rst) variable say : std_logic_vector(3 downto 0) := (others=>'0'); --variable an : std_logic := '0'; begin if (rst='1') then say := (others=>'0'); elsif rising_edge(clk) then an <= anahtar; ------------------------------------- if an='1' then say := say + 1; if say="1101" then say := "0000"; end if; else null; end if; cik <= say; end if; end process; end Behavioral; Varlıktanımlaması library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_SIGNED.ALL; entity sayici is Port ( clk: in std_logic; rst: in std_logic; anahtar: in std_logic; cik: out std_logic_vector(3 downto 0) ); end sayici; 27 Dr. Serkan DERELİ (dereli@subu.edu.tr)
  • 28. Örnek 4.4. Simülasyon (Sinyal) 28