SlideShare a Scribd company logo
Guided by
Dr. Sunil Kumar. S. Manvi
Project Members
Abhiroop. M. N
Asha. A. R
Nagapooja. K
Pavan. S
component codecclk
PORT
(
inclk0 : IN STD_LOGIC ;
c0 : OUT STD_LOGIC
);
end component;
Codec clkIn clk
(25 Mhz)
C0
(2.048 Mhz)
component pll75m
PORT
(
inclk0 : IN STD_LOGIC ;
c0 : OUT STD_LOGIC
);
end component;
PLL 75MhzIn clk
(2.048 Mhz)
C0
(75 Mhz)
component packetmem
PORT
(
data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
inclock : IN STD_LOGIC := '1';
outclock : IN STD_LOGIC ;
rdaddress : IN STD_LOGIC_VECTOR (12 DOWNTO 0);
wraddress : IN STD_LOGIC_VECTOR (12 DOWNTO 0);
wren : IN STD_LOGIC := '0';
q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
);
end component;
PLL 75Mhz
data
In clock
Out clock
WR Address
RD Address
WR Enable
Q
codecclk_inst : codecclk
PORT MAP
(
inclk0 => clkin,
c0 => clk2048m
);
pll75m_inst : pll75m
PORT MAP
(
inclk0 => clkin,
c0 => clk75m
);
packetmem_init : packetmem
PORT MAP(
data => rxmemip,
inclock => clk2048m,
outclock => clk75m,
rdaddress => (bytecnt(3 downto 0) +1) & (not bank) & rxmemwa,
wraddress => bytecnt(3 downto 0) & bank & rxmemwa,
wren => rxmemwe,
q => rdatareg_1
);
bytecnt bank rxmemwa
rdata <= CODEC_VOUT1 when (bytecnt =1 or bytecnt =2 or bytecnt =3 or bytecnt =4)
else CODEC_VOUT2 when (bytecnt =5 or bytecnt =6 or bytecnt =7 or bytecnt =8)
else CODEC_VOUT3 when (bytecnt =9 or bytecnt =10 or bytecnt =11 or bytecnt =12)
else CODEC_VOUT4 when (bytecnt =13 or bytecnt =14 or bytecnt =15 or bytecnt =16) ;
SYNC_D(1) <= '1' when (bytecnt =0 and bitcnt =7)else '0';
SYNC_D(2) <= '1' when (bytecnt =1 and bitcnt =7)else '0';
SYNC_D(3) <= '1' when (bytecnt =2 and bitcnt =7) else '0';
SYNC_D(4) <= '1' when (bytecnt =3 and bitcnt =7) else '0';
SYNC_D(5) <= '1' when (bytecnt =4 and bitcnt =7) else '0';
SYNC_D(6) <= '1' when (bytecnt =5 and bitcnt =7) else '0';
SYNC_D(7) <= '1' when (bytecnt =6 and bitcnt =7) else '0';
SYNC_D(8) <= '1' when (bytecnt =7 and bitcnt =7) else '0';
1 bit
1byte 160 bytes 1byte
1 bit
Codec_Vout0
rdata
tdatareg
rdatareg
Codec_Vin2
160 bytes
Bank 0 Bank 1
rx_sm1:process (clk2048m)
begin
if ( clk2048m 'event and clk2048m = '0') then
rdata1 <= rdata;
end if;
end process;
rx_sm:process (clk2048m)
begin
if ( clk2048m 'event and clk2048m='1') then
if( int_reset = '1') then
bitcnt <= (others=>'0');
bytecnt <= (others=>'0');
framecnt <= (others=>'0');
end if;
if( framecnt = "10100000") then
framecnt <= "00000000";
bank <= not bank;
end if;
if( bitcnt = 1) then
rxmemip <= rdatareg ;
rxmemwa <= framecnt;
rxmemwab <= bank;
rxmemwe <= '1';
else
rxmemwe <= '0';
end if;
end if;
end if;
else
rdatareg1 <= rdatareg1(6 downto 0) & rdata1;
bitcnt <= bitcnt + 1;
if( bitcnt = 7) then
if( bytecnt = framelength ) then
bytecnt <= (others=>'0');
framecnt <= framecnt + 1;
else
bytecnt <= bytecnt + 1;
end if;
end if;
if( bitcnt = 0) then
rdatareg <= rdatareg1;
end if;
if ( clk2048m'event and clk2048m='1') then
if( bitcnt = 7)then
tdatareg0 <= rdatareg_1;
else
tdatareg0 <= tdatareg0(6 downto 0)& '0';
end if;
end if;
CODEC_VIN2 <= tdatareg0(7);
Tdm to vo ip 2

More Related Content

DOCX
ODP
Fpga creating counter with external clock
PPT
W8_2: Inside the UoS Educational Processor
DOCX
codings related to avr micro controller
DOCX
Dam gate open close lpc prog
PPTX
Latches &amp; flipflops
PPTX
Writing more complex models
PDF
Digital system design practical file
Fpga creating counter with external clock
W8_2: Inside the UoS Educational Processor
codings related to avr micro controller
Dam gate open close lpc prog
Latches &amp; flipflops
Writing more complex models
Digital system design practical file

What's hot (18)

PDF
Qt Network Explained (Portuguese)
DOCX
Reporte de electrónica digital con VHDL: practica 7 memorias
PDF
Dsd lab Practical File
PPT
Data Acquisition
TXT
Program of speed and direction control of dc motor
PDF
Mutate and Test your Tests
PPTX
Nopcon '16 Android Kernel Vulnerabilities
PDF
Geth important commands
PPT
Verilog code
PPTX
Mysql5.1 character set testing
PDF
Vhdl lab manual
PDF
Non-blocking synchronization — what is it and why we (don't?) need it
PPTX
Mysql handle socket
PPTX
Writing more complex models (continued)
PPTX
Compare mysql5.1.50 mysql5.5.8
PPTX
Behavioral modelling in VHDL
PPTX
HSA Kernel Code (KFD v0.6)
PPT
Data Flow Modeling
Qt Network Explained (Portuguese)
Reporte de electrónica digital con VHDL: practica 7 memorias
Dsd lab Practical File
Data Acquisition
Program of speed and direction control of dc motor
Mutate and Test your Tests
Nopcon '16 Android Kernel Vulnerabilities
Geth important commands
Verilog code
Mysql5.1 character set testing
Vhdl lab manual
Non-blocking synchronization — what is it and why we (don't?) need it
Mysql handle socket
Writing more complex models (continued)
Compare mysql5.1.50 mysql5.5.8
Behavioral modelling in VHDL
HSA Kernel Code (KFD v0.6)
Data Flow Modeling
Ad

Viewers also liked (16)

PPTX
Prezentacja
PPTX
Cloud cpr uncc cloud computing conference 2013
PDF
Oración de un niño hispanoamericano
PDF
La ciencia y la tecnología en el desarrollo de las transformaciones estructur...
PPTX
School board meeting
PDF
DevFest Student 2014 REST API Halil Kaya
PDF
Reflexiones de periódicos
PDF
Universidades Politécnicas Territoriales: Instrumentos sociales para el desar...
PPTX
Summary
PDF
La quiebra del lenguaje Rafael Cadenas Venezolano- Larence
PPTX
Tdm to vo ip 1
PDF
Construcción de las comunas
PPTX
Drukarka 3D - przyszłość innowacji!
PPTX
Hypersensitivity
PPTX
Caries indices
Prezentacja
Cloud cpr uncc cloud computing conference 2013
Oración de un niño hispanoamericano
La ciencia y la tecnología en el desarrollo de las transformaciones estructur...
School board meeting
DevFest Student 2014 REST API Halil Kaya
Reflexiones de periódicos
Universidades Politécnicas Territoriales: Instrumentos sociales para el desar...
Summary
La quiebra del lenguaje Rafael Cadenas Venezolano- Larence
Tdm to vo ip 1
Construcción de las comunas
Drukarka 3D - przyszłość innowacji!
Hypersensitivity
Caries indices
Ad

Similar to Tdm to vo ip 2 (20)

DOCX
Uart
ODP
Fpga creating counter with internal clock
DOCX
vhdll.docx
DOCX
Vhdl programs
DOCX
Reporte vhdl9
PDF
Pythonによるカスタム可能な高位設計技術 (Design Solution Forum 2016@新横浜)
PPTX
PDF
Digital System Design Lab Report - VHDL ECE
DOCX
Reporte vhd10
DOCX
Direct analog
ODP
FPGA Tutorial - LCD Interface
PPTX
Very high speed HDL Presentation FOR KIET.pptx
PPTX
pertemuan-keenam-lcd-display-project.pptx
PPTX
Vechicle accident prevention using eye bilnk sensor ppt
PDF
Lecture07(DHDNBK)-Behavior-Modelling.pdf
PDF
PDF
An Example MIPS
PDF
VHdl lab report
PDF
IEEE 1149.1-2013 Addresses Challenges in Test Re-Use from IP to IC to Systems
PPTX
ee2004Assignment_four_SemB24-25kk25.pptx
Uart
Fpga creating counter with internal clock
vhdll.docx
Vhdl programs
Reporte vhdl9
Pythonによるカスタム可能な高位設計技術 (Design Solution Forum 2016@新横浜)
Digital System Design Lab Report - VHDL ECE
Reporte vhd10
Direct analog
FPGA Tutorial - LCD Interface
Very high speed HDL Presentation FOR KIET.pptx
pertemuan-keenam-lcd-display-project.pptx
Vechicle accident prevention using eye bilnk sensor ppt
Lecture07(DHDNBK)-Behavior-Modelling.pdf
An Example MIPS
VHdl lab report
IEEE 1149.1-2013 Addresses Challenges in Test Re-Use from IP to IC to Systems
ee2004Assignment_four_SemB24-25kk25.pptx

Recently uploaded (20)

PDF
Agricultural_Statistics_at_a_Glance_2022_0.pdf
PDF
TokAI - TikTok AI Agent : The First AI Application That Analyzes 10,000+ Vira...
PDF
7 ChatGPT Prompts to Help You Define Your Ideal Customer Profile.pdf
PPTX
VMware vSphere Foundation How to Sell Presentation-Ver1.4-2-14-2024.pptx
PDF
Approach and Philosophy of On baking technology
PDF
Machine learning based COVID-19 study performance prediction
PDF
Spectral efficient network and resource selection model in 5G networks
PDF
Review of recent advances in non-invasive hemoglobin estimation
PDF
Shreyas Phanse Resume: Experienced Backend Engineer | Java • Spring Boot • Ka...
PPTX
KOM of Painting work and Equipment Insulation REV00 update 25-dec.pptx
PPTX
Effective Security Operations Center (SOC) A Modern, Strategic, and Threat-In...
PPTX
PA Analog/Digital System: The Backbone of Modern Surveillance and Communication
PPT
“AI and Expert System Decision Support & Business Intelligence Systems”
PDF
Empathic Computing: Creating Shared Understanding
PDF
Encapsulation_ Review paper, used for researhc scholars
DOCX
The AUB Centre for AI in Media Proposal.docx
PDF
Blue Purple Modern Animated Computer Science Presentation.pdf.pdf
PDF
How UI/UX Design Impacts User Retention in Mobile Apps.pdf
PDF
Electronic commerce courselecture one. Pdf
PDF
Peak of Data & AI Encore- AI for Metadata and Smarter Workflows
Agricultural_Statistics_at_a_Glance_2022_0.pdf
TokAI - TikTok AI Agent : The First AI Application That Analyzes 10,000+ Vira...
7 ChatGPT Prompts to Help You Define Your Ideal Customer Profile.pdf
VMware vSphere Foundation How to Sell Presentation-Ver1.4-2-14-2024.pptx
Approach and Philosophy of On baking technology
Machine learning based COVID-19 study performance prediction
Spectral efficient network and resource selection model in 5G networks
Review of recent advances in non-invasive hemoglobin estimation
Shreyas Phanse Resume: Experienced Backend Engineer | Java • Spring Boot • Ka...
KOM of Painting work and Equipment Insulation REV00 update 25-dec.pptx
Effective Security Operations Center (SOC) A Modern, Strategic, and Threat-In...
PA Analog/Digital System: The Backbone of Modern Surveillance and Communication
“AI and Expert System Decision Support & Business Intelligence Systems”
Empathic Computing: Creating Shared Understanding
Encapsulation_ Review paper, used for researhc scholars
The AUB Centre for AI in Media Proposal.docx
Blue Purple Modern Animated Computer Science Presentation.pdf.pdf
How UI/UX Design Impacts User Retention in Mobile Apps.pdf
Electronic commerce courselecture one. Pdf
Peak of Data & AI Encore- AI for Metadata and Smarter Workflows

Tdm to vo ip 2

  • 1. Guided by Dr. Sunil Kumar. S. Manvi Project Members Abhiroop. M. N Asha. A. R Nagapooja. K Pavan. S
  • 2. component codecclk PORT ( inclk0 : IN STD_LOGIC ; c0 : OUT STD_LOGIC ); end component; Codec clkIn clk (25 Mhz) C0 (2.048 Mhz)
  • 3. component pll75m PORT ( inclk0 : IN STD_LOGIC ; c0 : OUT STD_LOGIC ); end component; PLL 75MhzIn clk (2.048 Mhz) C0 (75 Mhz)
  • 4. component packetmem PORT ( data : IN STD_LOGIC_VECTOR (7 DOWNTO 0); inclock : IN STD_LOGIC := '1'; outclock : IN STD_LOGIC ; rdaddress : IN STD_LOGIC_VECTOR (12 DOWNTO 0); wraddress : IN STD_LOGIC_VECTOR (12 DOWNTO 0); wren : IN STD_LOGIC := '0'; q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) ); end component; PLL 75Mhz data In clock Out clock WR Address RD Address WR Enable Q
  • 5. codecclk_inst : codecclk PORT MAP ( inclk0 => clkin, c0 => clk2048m ); pll75m_inst : pll75m PORT MAP ( inclk0 => clkin, c0 => clk75m );
  • 6. packetmem_init : packetmem PORT MAP( data => rxmemip, inclock => clk2048m, outclock => clk75m, rdaddress => (bytecnt(3 downto 0) +1) & (not bank) & rxmemwa, wraddress => bytecnt(3 downto 0) & bank & rxmemwa, wren => rxmemwe, q => rdatareg_1 ); bytecnt bank rxmemwa
  • 7. rdata <= CODEC_VOUT1 when (bytecnt =1 or bytecnt =2 or bytecnt =3 or bytecnt =4) else CODEC_VOUT2 when (bytecnt =5 or bytecnt =6 or bytecnt =7 or bytecnt =8) else CODEC_VOUT3 when (bytecnt =9 or bytecnt =10 or bytecnt =11 or bytecnt =12) else CODEC_VOUT4 when (bytecnt =13 or bytecnt =14 or bytecnt =15 or bytecnt =16) ; SYNC_D(1) <= '1' when (bytecnt =0 and bitcnt =7)else '0'; SYNC_D(2) <= '1' when (bytecnt =1 and bitcnt =7)else '0'; SYNC_D(3) <= '1' when (bytecnt =2 and bitcnt =7) else '0'; SYNC_D(4) <= '1' when (bytecnt =3 and bitcnt =7) else '0'; SYNC_D(5) <= '1' when (bytecnt =4 and bitcnt =7) else '0'; SYNC_D(6) <= '1' when (bytecnt =5 and bitcnt =7) else '0'; SYNC_D(7) <= '1' when (bytecnt =6 and bitcnt =7) else '0'; SYNC_D(8) <= '1' when (bytecnt =7 and bitcnt =7) else '0';
  • 8. 1 bit 1byte 160 bytes 1byte 1 bit Codec_Vout0 rdata tdatareg rdatareg Codec_Vin2 160 bytes Bank 0 Bank 1
  • 9. rx_sm1:process (clk2048m) begin if ( clk2048m 'event and clk2048m = '0') then rdata1 <= rdata; end if; end process; rx_sm:process (clk2048m) begin if ( clk2048m 'event and clk2048m='1') then if( int_reset = '1') then bitcnt <= (others=>'0'); bytecnt <= (others=>'0'); framecnt <= (others=>'0'); end if; if( framecnt = "10100000") then framecnt <= "00000000"; bank <= not bank; end if;
  • 10. if( bitcnt = 1) then rxmemip <= rdatareg ; rxmemwa <= framecnt; rxmemwab <= bank; rxmemwe <= '1'; else rxmemwe <= '0'; end if; end if; end if; else rdatareg1 <= rdatareg1(6 downto 0) & rdata1; bitcnt <= bitcnt + 1; if( bitcnt = 7) then if( bytecnt = framelength ) then bytecnt <= (others=>'0'); framecnt <= framecnt + 1; else bytecnt <= bytecnt + 1; end if; end if; if( bitcnt = 0) then rdatareg <= rdatareg1; end if;
  • 11. if ( clk2048m'event and clk2048m='1') then if( bitcnt = 7)then tdatareg0 <= rdatareg_1; else tdatareg0 <= tdatareg0(6 downto 0)& '0'; end if; end if; CODEC_VIN2 <= tdatareg0(7);