SlideShare a Scribd company logo
2
Most read
Low power design techniques
- Dynamic power
- Reduce toggle count
- RTL clock gating
- Chip level, Unit level, Block level clock gating
- Inferred clock gating using ICGs -- increase clock gating percentage
- Reduce voltage
- Multiple Modes for use cases
- Structural placement (reducing interconnect cap)
- Accurate power estimation to target re-architecting dynamic power critical blocks
- Reduce cell drive strengths
- Reduce total std_cell area
- VT sweep to achieve best subset of cells for timing closure and lower power
- dont_use/dont_touch settings
- Reduce glitches/noise
- Reduce total net length
- Clustered placement, reduce detours
- Multiple modes (different Vdd, different Frequency)
- split rails (VDD_mem, VDD_logic correspondingly)
- Relax max_trans constraint
- compromise between design robustness and over-sizing of cells
- Disable high drive strength cells if timing is met
- Disable complex cells
- Enable Register Retiming
- Pin-swapping to offload high switching nets
- Useful clock skew to lower the drive strength of cells in data path
- Proper Technology node selection for your design
- Multiple Voltage Islands
- Low power designware (DW) datapath components
- Achieve best Clock tree quality (latency, skew)
- Results in less hold buffers, design area
- Accurate timing constraints
- Not to optimize some false or relaxed paths by design
- Better PLL for jitter margins
- Third party IPs
- Multibit flip-flops to reduce clock tree power
- Custom placement
- SAIF based placement, Low Power Placement (LPP) flow
- Best Memory placement, Floorplanning - which affects placement, total net
length
- Software scheduling to avoid peak power use cases
- SRAM selection
- Sweep to change floorplan and reduce total net length
- Low Power Flop (LP Flops) usage in Synthesis
- Leakage power
- Multi VT, multi drive strengths
- Leakage, area recovery using ECOs
- Power gating (header/footer)
- Body bias
- overdrive technique
- Control LVT usage in PD (allow only % of LVT cells)
- 3-sigma versus 2-sigma corners
- Reduces yield but better leakage
- Characterized library at power corner (Scaling factors across corners will be
pessimistic)

More Related Content

PPT
Timing and Design Closure in Physical Design Flows
PDF
Setup fixing
PPTX
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
PDF
Physical design-complete
DOCX
Pd flow i
PPTX
PPTX
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
PDF
13 static timing_analysis_4_set_up_and_hold_time_violation_remedy
Timing and Design Closure in Physical Design Flows
Setup fixing
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical design-complete
Pd flow i
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
13 static timing_analysis_4_set_up_and_hold_time_violation_remedy

What's hot (20)

PPTX
GUI for DRV fix in ICC2
PDF
Implementing Useful Clock Skew Using Skew Groups
ODP
Inputs of physical design
PDF
Vlsi best notes google docs
PDF
VLSI-Physical Design- Tool Terminalogy
PDF
Static_Timing_Analysis_in_detail.pdf
PDF
Timing closure document
PDF
Basic synthesis flow and commands in digital VLSI
PDF
Sta by usha_mehta
PPTX
Physical design
PDF
Physical design
PPTX
Powerplanning
PPTX
Floor plan & Power Plan
DOCX
Vlsi interview questions compilation
PDF
12 static timing_analysis_3_clocked_design
PPT
Routing.ppt
PPT
Low Power Design Techniques for ASIC / SOC Design
PPTX
ZERO WIRE LOAD MODEL.pptx
PDF
Clock relationships
PPTX
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
GUI for DRV fix in ICC2
Implementing Useful Clock Skew Using Skew Groups
Inputs of physical design
Vlsi best notes google docs
VLSI-Physical Design- Tool Terminalogy
Static_Timing_Analysis_in_detail.pdf
Timing closure document
Basic synthesis flow and commands in digital VLSI
Sta by usha_mehta
Physical design
Physical design
Powerplanning
Floor plan & Power Plan
Vlsi interview questions compilation
12 static timing_analysis_3_clocked_design
Routing.ppt
Low Power Design Techniques for ASIC / SOC Design
ZERO WIRE LOAD MODEL.pptx
Clock relationships
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Ad

Similar to Power Reduction Techniques (20)

PPT
Low power vlsi design
PPT
PDF
Low Power Design and Verification
PDF
Low power design-ver_26_mar08
PPT
5378086.ppt
PDF
Shultz dallas q108
PDF
Schulz dallas q1_2008
PPTX
ECE6003-Module_1.pptx electronics and communication
PPT
3-Anandi.ppt
PPT
Low power methods.ppt
PPT
Anandi.ppt
PPT
LPVLSI.ppt
PPT
Altera trcak g
PPTX
Session 1 part b.pptx
PDF
Low-Power Design and Verification
PPTX
LOW POWER DESIGN VLSI
PDF
2Sem-MTech-Low Power VLSI Design Homework - Unit2
PPT
C:\fakepath\apache track d updated
PPT
Apache track d updated
PPT
Power Saving Design Techniques with Low Cost FPGAs
Low power vlsi design
Low Power Design and Verification
Low power design-ver_26_mar08
5378086.ppt
Shultz dallas q108
Schulz dallas q1_2008
ECE6003-Module_1.pptx electronics and communication
3-Anandi.ppt
Low power methods.ppt
Anandi.ppt
LPVLSI.ppt
Altera trcak g
Session 1 part b.pptx
Low-Power Design and Verification
LOW POWER DESIGN VLSI
2Sem-MTech-Low Power VLSI Design Homework - Unit2
C:\fakepath\apache track d updated
Apache track d updated
Power Saving Design Techniques with Low Cost FPGAs
Ad

More from Rajesh M (9)

PDF
Daily Habits.pdf
PDF
Node Scaling Objectives
PDF
Technology scaling introduction
PDF
Problems between Synthesis and preCTS
PPTX
#50 ethics
PDF
680report final
PPTX
676.v3
PDF
Clock mesh sizing slides
PPTX
Study of inter and intra chip variations
Daily Habits.pdf
Node Scaling Objectives
Technology scaling introduction
Problems between Synthesis and preCTS
#50 ethics
680report final
676.v3
Clock mesh sizing slides
Study of inter and intra chip variations

Recently uploaded (20)

PPTX
6ME3A-Unit-II-Sensors and Actuators_Handouts.pptx
PPTX
Artificial Intelligence
PDF
III.4.1.2_The_Space_Environment.p pdffdf
PPTX
MET 305 2019 SCHEME MODULE 2 COMPLETE.pptx
PDF
The CXO Playbook 2025 – Future-Ready Strategies for C-Suite Leaders Cerebrai...
PPTX
communication and presentation skills 01
PPTX
UNIT 4 Total Quality Management .pptx
PPTX
CURRICULAM DESIGN engineering FOR CSE 2025.pptx
PPT
INTRODUCTION -Data Warehousing and Mining-M.Tech- VTU.ppt
PPT
Total quality management ppt for engineering students
PPT
Introduction, IoT Design Methodology, Case Study on IoT System for Weather Mo...
PDF
EXPLORING LEARNING ENGAGEMENT FACTORS INFLUENCING BEHAVIORAL, COGNITIVE, AND ...
PDF
R24 SURVEYING LAB MANUAL for civil enggi
PDF
null (2) bgfbg bfgb bfgb fbfg bfbgf b.pdf
PDF
SMART SIGNAL TIMING FOR URBAN INTERSECTIONS USING REAL-TIME VEHICLE DETECTI...
PPT
Occupational Health and Safety Management System
PDF
Visual Aids for Exploratory Data Analysis.pdf
PDF
PREDICTION OF DIABETES FROM ELECTRONIC HEALTH RECORDS
PPT
A5_DistSysCh1.ppt_INTRODUCTION TO DISTRIBUTED SYSTEMS
PPTX
Fundamentals of Mechanical Engineering.pptx
6ME3A-Unit-II-Sensors and Actuators_Handouts.pptx
Artificial Intelligence
III.4.1.2_The_Space_Environment.p pdffdf
MET 305 2019 SCHEME MODULE 2 COMPLETE.pptx
The CXO Playbook 2025 – Future-Ready Strategies for C-Suite Leaders Cerebrai...
communication and presentation skills 01
UNIT 4 Total Quality Management .pptx
CURRICULAM DESIGN engineering FOR CSE 2025.pptx
INTRODUCTION -Data Warehousing and Mining-M.Tech- VTU.ppt
Total quality management ppt for engineering students
Introduction, IoT Design Methodology, Case Study on IoT System for Weather Mo...
EXPLORING LEARNING ENGAGEMENT FACTORS INFLUENCING BEHAVIORAL, COGNITIVE, AND ...
R24 SURVEYING LAB MANUAL for civil enggi
null (2) bgfbg bfgb bfgb fbfg bfbgf b.pdf
SMART SIGNAL TIMING FOR URBAN INTERSECTIONS USING REAL-TIME VEHICLE DETECTI...
Occupational Health and Safety Management System
Visual Aids for Exploratory Data Analysis.pdf
PREDICTION OF DIABETES FROM ELECTRONIC HEALTH RECORDS
A5_DistSysCh1.ppt_INTRODUCTION TO DISTRIBUTED SYSTEMS
Fundamentals of Mechanical Engineering.pptx

Power Reduction Techniques

  • 1. Low power design techniques - Dynamic power - Reduce toggle count - RTL clock gating - Chip level, Unit level, Block level clock gating - Inferred clock gating using ICGs -- increase clock gating percentage - Reduce voltage - Multiple Modes for use cases - Structural placement (reducing interconnect cap) - Accurate power estimation to target re-architecting dynamic power critical blocks - Reduce cell drive strengths - Reduce total std_cell area - VT sweep to achieve best subset of cells for timing closure and lower power - dont_use/dont_touch settings - Reduce glitches/noise - Reduce total net length - Clustered placement, reduce detours - Multiple modes (different Vdd, different Frequency) - split rails (VDD_mem, VDD_logic correspondingly) - Relax max_trans constraint - compromise between design robustness and over-sizing of cells - Disable high drive strength cells if timing is met - Disable complex cells - Enable Register Retiming - Pin-swapping to offload high switching nets - Useful clock skew to lower the drive strength of cells in data path - Proper Technology node selection for your design - Multiple Voltage Islands - Low power designware (DW) datapath components - Achieve best Clock tree quality (latency, skew) - Results in less hold buffers, design area - Accurate timing constraints - Not to optimize some false or relaxed paths by design - Better PLL for jitter margins - Third party IPs - Multibit flip-flops to reduce clock tree power - Custom placement - SAIF based placement, Low Power Placement (LPP) flow - Best Memory placement, Floorplanning - which affects placement, total net length - Software scheduling to avoid peak power use cases - SRAM selection - Sweep to change floorplan and reduce total net length - Low Power Flop (LP Flops) usage in Synthesis
  • 2. - Leakage power - Multi VT, multi drive strengths - Leakage, area recovery using ECOs - Power gating (header/footer) - Body bias - overdrive technique - Control LVT usage in PD (allow only % of LVT cells) - 3-sigma versus 2-sigma corners - Reduces yield but better leakage - Characterized library at power corner (Scaling factors across corners will be pessimistic)