SlideShare a Scribd company logo
10
Most read
16
Most read
17
Most read
VERILOG
-Presented by
Name-Devanshu Jaiswal
Ajay Kumar Garg Engineering
College
Contents
• Introduction
• Design process
• HDL
• History
• Verilog
• Elements of verilog
• Design representation
-Multiplexer
-Adder
Introduction
• Integrated circuits are the combination of millions
of transistor embedded on a silicon chip.
• Designing of these circuits are very complex.
• Designing is divided into various abstraction
levels.
• Abstraction levels are
-Silicon level
-Transistor level
-Gate level
-Architectural level
-System level
Silicon level
Gate level
Architectural level
System level
Design process
Required product
Define specifications
Initial design
Simulation
Design
correct
Redesign
no
yes
Prototype implementation
What is HDL?
• Hardware Description Language describes the
hardware of digital systems in textual form.
• One can design any hardware at any level.
• Simulation of designs before fabrication.
• With the advent of VLSI, it is not possible to
verify a complex design with millions of gates
on a breadboard, HDLs came into existence to
verify the functionality of these circuits.
• Have special hardware related constructs.
• Currently models digital system and limited
analog system, and in future can model
complete mixed signal systems also .
• It is used to build models for simulation,
synthesis and test.
• Unlike a program executes serial, HDL
executes concurrently that is parallelism is
followed.
• It has special construct for modelling delays.
HDL History
• 1970s: First HDLs
• Late 1970s: VHDL
– VHDL = VHSIC HDL = Very High Speed Integrated Circuit
HDL
– VHDL inspired by programming languages of the day (Ada)
• 1980s:
– Verilog first introduced
– Verilog inspired by the C programming language
– VHDL standardized
• 1990s:
– Verilog standardized (Verilog-1995 standard)
• 2000s:
– Continued evolution (Verilog-2001 standard)
• Both VHDL and Verilog evolving, still in use today
What is Verilog ?
• Verilog is a hardware description language.
• Verilog HDL is commonly used in the US
industry. Major digital design companies in
Pakistan use Verilog HDL as their primary
choice.
• Most commonly used in the design,
verification, and implementation of digital
logic chips.
Verilog simulator
There are many logic simulators used for Verilog
HDL. Most common are:
–Xilinx
–Veriwell
–Model Sim
For Beginners Veriwell is good choice and is very
user friendly.
Xilinx and ModelSim are widely used.
Elements of verilog- data type
• Nets
– Nets are physical connections between devices
– Many types of nets, but all we care about is wire.
• Registers
– Implicit storage-holds its value until a new value is assigned to it.
– Register type is denoted by reg.
• Parameters are not variables, they are constants.
keywords
• Note : All keywords are defined in lower case
• Examples :
• module, endmodule
• input, output, inout
• reg, integer, real, time
• not, and, nand, or, nor, xor
• parameter
• begin, end
• fork, join
• specify, endspecify
Keyword description
• module – fundamental building block for Verilog
designs
• Used to construct design hierarchy
• Cannot be nested
• endmodule – ends a module – not a statement
=> no “;”
• Module Declaration
• module module_name (module_port, module_port,
…);
• Example: module full_adder (A, B, c_in,
c_out, S);
Conti…
• Input Declaration
• Scalar
• input list of input identifiers;
• Example: input A, B, C_in;
• Vector
• input[range] list of input identifiers;
• Example: input[15:0] A, B, data;
• Output Declaration
• Scalar Example: output c_out, OV, MINUS;
• Vector Example: output[7:0] ACC, REG_IN,
data_out
Design representation
• Example-1:Multiplexer
Multiplexer: Choose one of the two inputs
based on a control input.
Sel: Select line is a control input.
A,B: Data inputs.
Out: Multiplexer output.
MUX
A
B
Sel
Out
Verilog description
module multiplexer(A, B, S, Out);
input A,B,S;
output Out;
wire tmp0,tmp1;
and (tmp1,B,S);
not (selbar,S);
and (tmp0,A,selbar);
or (Out,tmp0,tmp1);
Tmp1
tmp0
selbar
Out
Example 2: Half Adder
module half_adder(S, C, A, B);
output (S, C);
input (A, B);
wire (S, C, A, B);
xor (S,A,B);
And (A,B);
endmodule
Thank you!

More Related Content

PPT
Verilog Lecture1
PPTX
Verilog
PDF
Verilog HDL Training Course
PDF
Logic Synthesis
PPTX
PPTX
PPT
Introduction to VHDL - Part 1
PDF
Vhdl design flow
Verilog Lecture1
Verilog
Verilog HDL Training Course
Logic Synthesis
Introduction to VHDL - Part 1
Vhdl design flow

What's hot (20)

PPT
Short.course.introduction.to.vhdl for beginners
PDF
Chapter 5 introduction to VHDL
PPTX
PPSX
VLSI Design Flow
PDF
An Introductory course on Verilog HDL-Verilog hdl ppr
PPTX
Introduction to VHDL
PPTX
Verilog
PDF
VHDL-Behavioral-Programs-Structure of VHDL
PDF
Introduction to FPGA, VHDL
PPTX
Hardware Description Language
PPTX
Hardware description languages
PPT
Summer training vhdl
PDF
PPTX
Vlsi design flow
PPTX
Summer training vhdl
PDF
Synthesizing HDL using LeonardoSpectrum
Short.course.introduction.to.vhdl for beginners
Chapter 5 introduction to VHDL
VLSI Design Flow
An Introductory course on Verilog HDL-Verilog hdl ppr
Introduction to VHDL
Verilog
VHDL-Behavioral-Programs-Structure of VHDL
Introduction to FPGA, VHDL
Hardware Description Language
Hardware description languages
Summer training vhdl
Vlsi design flow
Summer training vhdl
Synthesizing HDL using LeonardoSpectrum
Ad

Viewers also liked (18)

PDF
verilog code for logic gates
PDF
Verilog codes and testbench codes for basic digital electronic circuits.
PPT
PDF
Experiment write-vhdl-code-for-realize-all-logic-gates
PDF
Vhdl 1 ppg
PDF
Tutorial: Formal Methods for Hardware Verification - Overview and Application...
DOCX
Description
PPT
Verilog hdl
PDF
Day2 Verilog HDL Basic
PPT
Verilogforlab
PPTX
Verilog overview
DOC
All VLSI programs
PPT
Verilog 語法教學
PDF
Programs of VHDL
PPT
Verilog tutorial
PPTX
What is FPGA?
PPTX
VLSI VHDL
verilog code for logic gates
Verilog codes and testbench codes for basic digital electronic circuits.
Experiment write-vhdl-code-for-realize-all-logic-gates
Vhdl 1 ppg
Tutorial: Formal Methods for Hardware Verification - Overview and Application...
Description
Verilog hdl
Day2 Verilog HDL Basic
Verilogforlab
Verilog overview
All VLSI programs
Verilog 語法教學
Programs of VHDL
Verilog tutorial
What is FPGA?
VLSI VHDL
Ad

Similar to Verilog (20)

PPTX
verilog intro lecture for digital system design
PPTX
Introduction_to_Verilog (1).pptxzhdYfzhfzy
PPTX
Integrated Circuits introduction and fpga
PDF
8d545d46b1785a31eaab12d116e10ba41d996928Lecture%202%20and%203%20pdf (1).pdf
PPTX
a verilog presentation for deep concept understa
PPTX
6 months/weeks training in Vlsi,jalandhar
PPTX
6 weeks/months summer training in vlsi,ludhiana
PDF
SKEL 4273 CAD with HDL Topic 1
PDF
Himanshu Shivhar (1)
PDF
4Sem VTU-HDL Programming Notes-Unit1-Introduction
PPTX
vlsi design summer training ppt
PDF
DPDK Architecture Musings - Andy Harvey
PDF
Vlsi design
PPT
System specification is behavioral Manual Translation of design in Boolean eq...
PPTX
VERY LARGE SCALE INTEGRATION (VLSI) TECHNOLOGY
PPTX
ASIC design verification
PPT
Lecture syn 024.cpld-fpga
PPT
Processor Design Flow for architecture design
PDF
Verilog HDL coding in VLSi Design circuits.pdf
PPTX
vhdlTutorial VHDL notes, introductions and Basic concepts
verilog intro lecture for digital system design
Introduction_to_Verilog (1).pptxzhdYfzhfzy
Integrated Circuits introduction and fpga
8d545d46b1785a31eaab12d116e10ba41d996928Lecture%202%20and%203%20pdf (1).pdf
a verilog presentation for deep concept understa
6 months/weeks training in Vlsi,jalandhar
6 weeks/months summer training in vlsi,ludhiana
SKEL 4273 CAD with HDL Topic 1
Himanshu Shivhar (1)
4Sem VTU-HDL Programming Notes-Unit1-Introduction
vlsi design summer training ppt
DPDK Architecture Musings - Andy Harvey
Vlsi design
System specification is behavioral Manual Translation of design in Boolean eq...
VERY LARGE SCALE INTEGRATION (VLSI) TECHNOLOGY
ASIC design verification
Lecture syn 024.cpld-fpga
Processor Design Flow for architecture design
Verilog HDL coding in VLSi Design circuits.pdf
vhdlTutorial VHDL notes, introductions and Basic concepts

Recently uploaded (20)

PPTX
UNIT-1 - COAL BASED THERMAL POWER PLANTS
PDF
The CXO Playbook 2025 – Future-Ready Strategies for C-Suite Leaders Cerebrai...
PPTX
Welding lecture in detail for understanding
PPTX
CH1 Production IntroductoryConcepts.pptx
PDF
PPT on Performance Review to get promotions
PDF
BMEC211 - INTRODUCTION TO MECHATRONICS-1.pdf
PPTX
CYBER-CRIMES AND SECURITY A guide to understanding
PPTX
FINAL REVIEW FOR COPD DIANOSIS FOR PULMONARY DISEASE.pptx
PPTX
UNIT 4 Total Quality Management .pptx
PDF
Embodied AI: Ushering in the Next Era of Intelligent Systems
PPTX
web development for engineering and engineering
PPTX
OOP with Java - Java Introduction (Basics)
PPTX
Infosys Presentation by1.Riyan Bagwan 2.Samadhan Naiknavare 3.Gaurav Shinde 4...
PDF
R24 SURVEYING LAB MANUAL for civil enggi
PDF
Well-logging-methods_new................
PPTX
Recipes for Real Time Voice AI WebRTC, SLMs and Open Source Software.pptx
PDF
Evaluating the Democratization of the Turkish Armed Forces from a Normative P...
PPTX
additive manufacturing of ss316l using mig welding
PPT
Project quality management in manufacturing
PPT
CRASH COURSE IN ALTERNATIVE PLUMBING CLASS
UNIT-1 - COAL BASED THERMAL POWER PLANTS
The CXO Playbook 2025 – Future-Ready Strategies for C-Suite Leaders Cerebrai...
Welding lecture in detail for understanding
CH1 Production IntroductoryConcepts.pptx
PPT on Performance Review to get promotions
BMEC211 - INTRODUCTION TO MECHATRONICS-1.pdf
CYBER-CRIMES AND SECURITY A guide to understanding
FINAL REVIEW FOR COPD DIANOSIS FOR PULMONARY DISEASE.pptx
UNIT 4 Total Quality Management .pptx
Embodied AI: Ushering in the Next Era of Intelligent Systems
web development for engineering and engineering
OOP with Java - Java Introduction (Basics)
Infosys Presentation by1.Riyan Bagwan 2.Samadhan Naiknavare 3.Gaurav Shinde 4...
R24 SURVEYING LAB MANUAL for civil enggi
Well-logging-methods_new................
Recipes for Real Time Voice AI WebRTC, SLMs and Open Source Software.pptx
Evaluating the Democratization of the Turkish Armed Forces from a Normative P...
additive manufacturing of ss316l using mig welding
Project quality management in manufacturing
CRASH COURSE IN ALTERNATIVE PLUMBING CLASS

Verilog

  • 1. VERILOG -Presented by Name-Devanshu Jaiswal Ajay Kumar Garg Engineering College
  • 2. Contents • Introduction • Design process • HDL • History • Verilog • Elements of verilog • Design representation -Multiplexer -Adder
  • 3. Introduction • Integrated circuits are the combination of millions of transistor embedded on a silicon chip. • Designing of these circuits are very complex. • Designing is divided into various abstraction levels. • Abstraction levels are -Silicon level -Transistor level -Gate level
  • 4. -Architectural level -System level Silicon level Gate level Architectural level System level
  • 5. Design process Required product Define specifications Initial design Simulation Design correct Redesign no yes Prototype implementation
  • 6. What is HDL? • Hardware Description Language describes the hardware of digital systems in textual form. • One can design any hardware at any level. • Simulation of designs before fabrication. • With the advent of VLSI, it is not possible to verify a complex design with millions of gates on a breadboard, HDLs came into existence to verify the functionality of these circuits.
  • 7. • Have special hardware related constructs. • Currently models digital system and limited analog system, and in future can model complete mixed signal systems also . • It is used to build models for simulation, synthesis and test. • Unlike a program executes serial, HDL executes concurrently that is parallelism is followed. • It has special construct for modelling delays.
  • 8. HDL History • 1970s: First HDLs • Late 1970s: VHDL – VHDL = VHSIC HDL = Very High Speed Integrated Circuit HDL – VHDL inspired by programming languages of the day (Ada) • 1980s: – Verilog first introduced – Verilog inspired by the C programming language – VHDL standardized • 1990s: – Verilog standardized (Verilog-1995 standard) • 2000s: – Continued evolution (Verilog-2001 standard) • Both VHDL and Verilog evolving, still in use today
  • 9. What is Verilog ? • Verilog is a hardware description language. • Verilog HDL is commonly used in the US industry. Major digital design companies in Pakistan use Verilog HDL as their primary choice. • Most commonly used in the design, verification, and implementation of digital logic chips.
  • 10. Verilog simulator There are many logic simulators used for Verilog HDL. Most common are: –Xilinx –Veriwell –Model Sim For Beginners Veriwell is good choice and is very user friendly. Xilinx and ModelSim are widely used.
  • 11. Elements of verilog- data type • Nets – Nets are physical connections between devices – Many types of nets, but all we care about is wire. • Registers – Implicit storage-holds its value until a new value is assigned to it. – Register type is denoted by reg. • Parameters are not variables, they are constants.
  • 12. keywords • Note : All keywords are defined in lower case • Examples : • module, endmodule • input, output, inout • reg, integer, real, time • not, and, nand, or, nor, xor • parameter • begin, end • fork, join • specify, endspecify
  • 13. Keyword description • module – fundamental building block for Verilog designs • Used to construct design hierarchy • Cannot be nested • endmodule – ends a module – not a statement => no “;” • Module Declaration • module module_name (module_port, module_port, …); • Example: module full_adder (A, B, c_in, c_out, S);
  • 14. Conti… • Input Declaration • Scalar • input list of input identifiers; • Example: input A, B, C_in; • Vector • input[range] list of input identifiers; • Example: input[15:0] A, B, data; • Output Declaration • Scalar Example: output c_out, OV, MINUS; • Vector Example: output[7:0] ACC, REG_IN, data_out
  • 15. Design representation • Example-1:Multiplexer Multiplexer: Choose one of the two inputs based on a control input. Sel: Select line is a control input. A,B: Data inputs. Out: Multiplexer output. MUX A B Sel Out
  • 16. Verilog description module multiplexer(A, B, S, Out); input A,B,S; output Out; wire tmp0,tmp1; and (tmp1,B,S); not (selbar,S); and (tmp0,A,selbar); or (Out,tmp0,tmp1); Tmp1 tmp0 selbar Out
  • 17. Example 2: Half Adder module half_adder(S, C, A, B); output (S, C); input (A, B); wire (S, C, A, B); xor (S,A,B); And (A,B); endmodule