SlideShare a Scribd company logo
SUMMER TRAINNING ON
VHDL
JAY SHARMA
15EBKEC015
Content
• What is HDL
• Why HDL
• What is VHDL
• History of VHDL
• Capabilities of VHDL
• VHDL VS C
• Design flow
• VHDL simulation cycle
• Design units in VHDL
• Type of modelling
What Is HDL
• HDL stands for Hardware Description Language
• It use describe functionality of digital circuit
Hardware Description Language
Why HDL?
• In digital more complex circuit we can not draw
because it consume lot of time and also not
scalable mean we can not drawing bigger and
bigger circuit , so we need a language who help
the describe the circuit.
• It is used to describe the structure and behavior
of electronic circuit and digital logic circuits.
• It use for simplicity and save time.
VHDL
• What is VHDL?
VHSIC very high speed integrated circuit
Hardware
Description
Language
History Of VHDL
• To provide single platform for information interchange
in 1981 DOD give task to different companies to make
common language for digital design.
• In 1983 Texas Instrument ,IBM and Intermetrics
develop version of VHDL.
• Version 7.2 of VHDL was publically released in 1985.
• VHDL was standardization by IEEE in 1987.
Capabilities Of VHDL
• Ability of verify timing of design
• Technology independent
• Support large design
• Flexible with cad tool
• Can be implement on PLD
• Use to generate GLN
VHDL VS C Language
• VHDL is concurrent language while C is sequential
language.
• VHDL is synthesizable while C is not.
• VHDL describe functionality of hardware while C
describe functionality of software.
Design Flow
Simulation Cycle
Simulation start
End simulation
Update signal Evaluate phase
Increment
Event
Design units in VHDL
• Entity declaration
• Architecture body
• Configuration declaration
• Package
Entity
• Entity is the building block of VHDL program.
• It is used to declare input and output ports.
Example:-
entity abcd is
port(a: in std_logic;
b: in std_logic;
c: out std_logic);
end abcd;
Architecture
• It contain the internal description of the entity.
Example :-
architecture myabcd of abcd is
begin
output <= input1 and input2;
end myabcd;
Note :- One entity has ‘n’ of architectures.
• Configuration declaration :-
it is used to associate architecture to an entity.
Example:-
configuration config of abcd is
for myabcd
end for;
end config;
• Package:-
It provides a mechanism to store items that can be shared
across many VHDL models. these items are function ,
components, procedure etc.
Type Of modeling
• Structural style of modeling
• Dataflow style of modeling
• Behavioral style of modeling
• Mixed style of modeling
Structural style
• As a set of interconnected components(to
represent structure)
library ieee;
use ieee.std_logic_1164.all;
entity andgate is
port(a, b: in bit;
z: out bit);
end andgate;
architecture e1 of andgate is
begin
z <= a and b;
end e1;
entity xorgate is
port(a, b: in bit;
z: out bit);
end xorgate;
architecture e2 of xorgate is
begin z <= a xor b;
end e2;
entity halfadder1 is
port(a, b: in bit;
s, c: out bit);
end halfadder1;
architecture structural of halfadder1 is
component andgate
port(a, b: in bit;
z: out bit);
end component;
component xorgate
port(a, b: in bit;
z: out bit);
end component;
begin
u1 : andgate port map(a,b,c);
u2 : xorgate port map(a,b,s);
end structural;
Data flow
• Progamming based flow of signal from input to output port.
library IEEE;
use IEEE.std_logic_1164.all;
entity and_gate is
port( A: in std_logic; B: in std_logic; Y: out std_logic);
end and_gate;
architecture df of and_gate is
begin
Y <= A and B;
end df;
Behavioral style
• As a set of sequential assignment statements (to represent behavior)
library ieee;
use ieee.std_logic_1164.all;
entity halfadder2 is
port(a, b : in bit;
s, c : out bit);
end halfadder2;
architecture behavioral of halfadder2 is
begin
p1: process(a,b)
begin
if a & b = "00" then
s <= '0'; c <= '0';
elsif a & b = "01" or a & b = "10" then
s <= '1'; c <= '0';
else s <= '0'; c <= '1';
end if;
end process;
end behavioral;
Thank you

More Related Content

PDF
Design for Testability
PPTX
Modules and ports in Verilog HDL
PDF
4Sem VTU-HDL Programming Notes-Unit1-Introduction
PDF
Overview of digital design with Verilog HDL
PPTX
Verilog Tutorial - Verilog HDL Tutorial with Examples
PDF
Delays in verilog
PDF
VHDL course
PPTX
VHDL Behavioral Description
Design for Testability
Modules and ports in Verilog HDL
4Sem VTU-HDL Programming Notes-Unit1-Introduction
Overview of digital design with Verilog HDL
Verilog Tutorial - Verilog HDL Tutorial with Examples
Delays in verilog
VHDL course
VHDL Behavioral Description

What's hot (20)

DOC
Fingerprint based electronic voting system
PPTX
floating point multiplier
PPT
PDF
Verilog VHDL code Decoder and Encoder
PPTX
Programmable logic devices
PPTX
Verilog
PDF
Verilog tutorial
PPTX
Verilog operators.pptx
PDF
Verilog Tasks & Functions
PPTX
PDF
Verilog full adder in dataflow & gate level modelling style.
PPT
4 bit add sub
PDF
prom,pld problems
PPTX
VERILOG HDL :: Blocking & NON- Blocking assignments
PPTX
Semiconductor microwave devices
PDF
Vlsi design
PDF
Synchronous and asynchronous clock
PDF
FYBSC IT Digital Electronics Unit V Chapter I Counters
PPTX
Hardware description languages
PPT
Verilog tutorial
Fingerprint based electronic voting system
floating point multiplier
Verilog VHDL code Decoder and Encoder
Programmable logic devices
Verilog
Verilog tutorial
Verilog operators.pptx
Verilog Tasks & Functions
Verilog full adder in dataflow & gate level modelling style.
4 bit add sub
prom,pld problems
VERILOG HDL :: Blocking & NON- Blocking assignments
Semiconductor microwave devices
Vlsi design
Synchronous and asynchronous clock
FYBSC IT Digital Electronics Unit V Chapter I Counters
Hardware description languages
Verilog tutorial
Ad

Similar to VHDL summer training (ppt) (20)

PPTX
VHDL_VIKAS.pptx
PPTX
PPTX
Dica ii chapter slides
PPTX
L6_Slides_vhdl coures temporary hair dye for dark hair
PPTX
Digital principle and computer design Presentation (1).pptx
PDF
Vhdl introduction
PPT
Lecture2 vhdl refresher
DOCX
BEC302_DSDV Lab Manual 3rd sem(1) (1) (2).docx
PPT
Introduction to VHDL language VHDL_Intro.ppt
PPT
Spdas2 vlsibput
PDF
Summer training vhdl
PPT
VHDL-PRESENTATION.ppt
PDF
Introduction to VHDL
PPT
Summer training vhdl
PPTX
Vhdl programming
PDF
Session 02 _rtl_design_with_vhdl 101
PPT
VAC Course VHDL.ppt value added course b. Tech
PDF
Introduction to VHDL
VHDL_VIKAS.pptx
Dica ii chapter slides
L6_Slides_vhdl coures temporary hair dye for dark hair
Digital principle and computer design Presentation (1).pptx
Vhdl introduction
Lecture2 vhdl refresher
BEC302_DSDV Lab Manual 3rd sem(1) (1) (2).docx
Introduction to VHDL language VHDL_Intro.ppt
Spdas2 vlsibput
Summer training vhdl
VHDL-PRESENTATION.ppt
Introduction to VHDL
Summer training vhdl
Vhdl programming
Session 02 _rtl_design_with_vhdl 101
VAC Course VHDL.ppt value added course b. Tech
Introduction to VHDL
Ad

Recently uploaded (20)

PPTX
bas. eng. economics group 4 presentation 1.pptx
PPTX
Foundation to blockchain - A guide to Blockchain Tech
PPTX
Sustainable Sites - Green Building Construction
PPTX
M Tech Sem 1 Civil Engineering Environmental Sciences.pptx
PPTX
Geodesy 1.pptx...............................................
PPTX
Lesson 3_Tessellation.pptx finite Mathematics
PDF
Operating System & Kernel Study Guide-1 - converted.pdf
PPTX
KTU 2019 -S7-MCN 401 MODULE 2-VINAY.pptx
PDF
Mohammad Mahdi Farshadian CV - Prospective PhD Student 2026
PDF
keyrequirementskkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkk
PDF
Digital Logic Computer Design lecture notes
PPTX
MCN 401 KTU-2019-PPE KITS-MODULE 2.pptx
PDF
Arduino robotics embedded978-1-4302-3184-4.pdf
PDF
SM_6th-Sem__Cse_Internet-of-Things.pdf IOT
PDF
Mitigating Risks through Effective Management for Enhancing Organizational Pe...
PPTX
Engineering Ethics, Safety and Environment [Autosaved] (1).pptx
PDF
PPT on Performance Review to get promotions
PDF
The CXO Playbook 2025 – Future-Ready Strategies for C-Suite Leaders Cerebrai...
PDF
Embodied AI: Ushering in the Next Era of Intelligent Systems
PPTX
CYBER-CRIMES AND SECURITY A guide to understanding
bas. eng. economics group 4 presentation 1.pptx
Foundation to blockchain - A guide to Blockchain Tech
Sustainable Sites - Green Building Construction
M Tech Sem 1 Civil Engineering Environmental Sciences.pptx
Geodesy 1.pptx...............................................
Lesson 3_Tessellation.pptx finite Mathematics
Operating System & Kernel Study Guide-1 - converted.pdf
KTU 2019 -S7-MCN 401 MODULE 2-VINAY.pptx
Mohammad Mahdi Farshadian CV - Prospective PhD Student 2026
keyrequirementskkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkk
Digital Logic Computer Design lecture notes
MCN 401 KTU-2019-PPE KITS-MODULE 2.pptx
Arduino robotics embedded978-1-4302-3184-4.pdf
SM_6th-Sem__Cse_Internet-of-Things.pdf IOT
Mitigating Risks through Effective Management for Enhancing Organizational Pe...
Engineering Ethics, Safety and Environment [Autosaved] (1).pptx
PPT on Performance Review to get promotions
The CXO Playbook 2025 – Future-Ready Strategies for C-Suite Leaders Cerebrai...
Embodied AI: Ushering in the Next Era of Intelligent Systems
CYBER-CRIMES AND SECURITY A guide to understanding

VHDL summer training (ppt)

  • 1. SUMMER TRAINNING ON VHDL JAY SHARMA 15EBKEC015
  • 2. Content • What is HDL • Why HDL • What is VHDL • History of VHDL • Capabilities of VHDL • VHDL VS C • Design flow • VHDL simulation cycle • Design units in VHDL • Type of modelling
  • 3. What Is HDL • HDL stands for Hardware Description Language • It use describe functionality of digital circuit Hardware Description Language
  • 4. Why HDL? • In digital more complex circuit we can not draw because it consume lot of time and also not scalable mean we can not drawing bigger and bigger circuit , so we need a language who help the describe the circuit. • It is used to describe the structure and behavior of electronic circuit and digital logic circuits. • It use for simplicity and save time.
  • 5. VHDL • What is VHDL? VHSIC very high speed integrated circuit Hardware Description Language
  • 6. History Of VHDL • To provide single platform for information interchange in 1981 DOD give task to different companies to make common language for digital design. • In 1983 Texas Instrument ,IBM and Intermetrics develop version of VHDL. • Version 7.2 of VHDL was publically released in 1985. • VHDL was standardization by IEEE in 1987.
  • 7. Capabilities Of VHDL • Ability of verify timing of design • Technology independent • Support large design • Flexible with cad tool • Can be implement on PLD • Use to generate GLN
  • 8. VHDL VS C Language • VHDL is concurrent language while C is sequential language. • VHDL is synthesizable while C is not. • VHDL describe functionality of hardware while C describe functionality of software.
  • 10. Simulation Cycle Simulation start End simulation Update signal Evaluate phase Increment Event
  • 11. Design units in VHDL • Entity declaration • Architecture body • Configuration declaration • Package
  • 12. Entity • Entity is the building block of VHDL program. • It is used to declare input and output ports. Example:- entity abcd is port(a: in std_logic; b: in std_logic; c: out std_logic); end abcd;
  • 13. Architecture • It contain the internal description of the entity. Example :- architecture myabcd of abcd is begin output <= input1 and input2; end myabcd; Note :- One entity has ‘n’ of architectures.
  • 14. • Configuration declaration :- it is used to associate architecture to an entity. Example:- configuration config of abcd is for myabcd end for; end config; • Package:- It provides a mechanism to store items that can be shared across many VHDL models. these items are function , components, procedure etc.
  • 15. Type Of modeling • Structural style of modeling • Dataflow style of modeling • Behavioral style of modeling • Mixed style of modeling
  • 16. Structural style • As a set of interconnected components(to represent structure) library ieee; use ieee.std_logic_1164.all; entity andgate is port(a, b: in bit; z: out bit); end andgate; architecture e1 of andgate is begin z <= a and b; end e1; entity xorgate is port(a, b: in bit; z: out bit); end xorgate; architecture e2 of xorgate is begin z <= a xor b; end e2; entity halfadder1 is port(a, b: in bit; s, c: out bit); end halfadder1; architecture structural of halfadder1 is component andgate port(a, b: in bit; z: out bit); end component; component xorgate port(a, b: in bit; z: out bit); end component; begin u1 : andgate port map(a,b,c); u2 : xorgate port map(a,b,s); end structural;
  • 17. Data flow • Progamming based flow of signal from input to output port. library IEEE; use IEEE.std_logic_1164.all; entity and_gate is port( A: in std_logic; B: in std_logic; Y: out std_logic); end and_gate; architecture df of and_gate is begin Y <= A and B; end df;
  • 18. Behavioral style • As a set of sequential assignment statements (to represent behavior) library ieee; use ieee.std_logic_1164.all; entity halfadder2 is port(a, b : in bit; s, c : out bit); end halfadder2; architecture behavioral of halfadder2 is begin p1: process(a,b) begin if a & b = "00" then s <= '0'; c <= '0'; elsif a & b = "01" or a & b = "10" then s <= '1'; c <= '0'; else s <= '0'; c <= '1'; end if; end process; end behavioral;